当前位置:文档之家› 用Verilog_HDL实现十字路口交通灯控制

用Verilog_HDL实现十字路口交通灯控制

用Verilog_HDL实现十字路口交通灯控制
用Verilog_HDL实现十字路口交通灯控制

江苏师范大学科文学院本科生课程设计

课程名称:电子线路课程实训

题目:十字路口交通管理控制器的设计

专业班级:11电信 1

学生姓名:李慧

学生学号:118326118

日期:2013 年12月12日

指导教师:陈斯

科文学院教务部印制

说明:

1、报告中的第一、二、三项由学生在课程设计开始前填写,由指导教师指

导并确认签字。

2、学生成绩由指导教师根据学生的设计情况给出各项分值及总评成绩,并

填写成绩评定表。

3、所有学生必须参加课程设计的答辩环节,凡不参加答辩者,其成绩一律

按不及格处理。答辩小组成员应由2人及以上教师组成。答辩后学生根据答辩情况填写答辩记录表。

4、报告正文字数一般应不少于3000字,也可由指导教师根据本门课程设

计的情况另行规定。

5、平时表现成绩低于6分的学生,取消答辩资格,其该课程设计成绩按不

及格处理。

6、课程设计完成后,由指导教师根据完成情况写出总结。

7、此表格式为徐州师范大学物理与电子工程学院提供的基本格式,指导教

师可根据本门课程设计的特点及内容做适当的调整。

指导教师签字:

年月日

徐州师范大学物理与电子工程学院课程设计报告

目录

摘要 (Ⅱ)

Abstract (Ⅱ)

1 绪论 (1)

1.1 课程性质 (1)

1.2 课程目的 (1)

1.3 设计要求 (1)

2 设计方案简述 (2)

2.1 系统总体框架图 (2)

2.2 整体设计思路 (3)

3 详细设计 (3)

4 综合与仿真 (6)

4.1 综合电路 (6)

4.2 仿真电路 (7)

5 引脚锁定 (8)

6 总结 (9)

参考文献 (10)

附录一: 同组人名单 (11)

答辩记录表 (12)

成绩评定表 (13)

课程设计总结 (14)

摘要

本文介绍了一款基于硬件描述语言Verilog HDL的十字路口交通管理控制器的设计,根据指导老师要求的功能的设计思路,详细讲述了从使用电脑Quartus II开发集成环境设计,到独立完整的设计电子电路的过程,并简单阐述了设计的基本原理和面对不同时间倒计时的转换、红绿灯定时交替亮灭等问题的解决方案。

关键字:Verilog HDL;交通管理;Quartus II;倒数计时

Abstract

This paper describes a hardware description language Verilog HDL-based design crossroads traffic management controller, according to the instructor required functions of design ideas, detailed account of the use of computers from Quartus II integrated development environment designed to separate the complete design of electronic process circuit, and briefly discusses the basic principles of design solutions and face convert different time countdown timer alternately blinking lights and other issues.

Keywords: Verilog HDL; traffic management; Quartus II; countdown

徐州师范大学物理与电子工程学院课程设计报告

1 绪论

1.1 课程性质

电子设计自动化课程设计。

1.2课程目的

在着重基础、注重前沿的前提下,促进电子信息类专业和课程的建设,促进教学改革,在教学中注重培养大学生的创新能力、动手能力;加强科学研究和工程实践素质的训练,提高学生对实际问题进行设计、制作的综合能力;吸引、鼓励广大学生踊跃参加课外科技活动,为优秀人才脱颖而出创造条件;为后续的毕业设计和在今后工作岗位上独立开展科学研究打下良好基础。

1.3设计要求

其东西、南北各有红、黄、绿三个灯指示,利用数码管以倒计时方式显示每一路允许通行的时间,绿灯,黄灯,红灯的持续时间分别是40、5和45秒。

2设计方案简述

2.1 系统总体框架图

图2-1 交通控制灯电路设计

如图2-1所示为位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,持续时间分别为45、5、40秒。变换顺序如左下表:

表2.1 交通灯变换顺序

图 2-2 交通指示灯状态转换图

2.2 整体设计思路

根据十字路口交通管理控制器的设计要求,本次采用文本编辑法,即利用Verilog HDL语言描述交通控制器,通过状态机计数法,实现设计所要求的交通灯控制及时间显示。设计中用两组红黄绿LED模拟两个方向上的交通灯,用2个7段数码管分别显示两个方向上的交通灯剩余时间,控制时钟由试验箱上频率信号提供。

3 详细设计

源程序:

module jtd(clk,rst,out,seg7,scan);

input clk,rst; //计数时钟、复位信号output[5:0]out;

output[6:0]seg7;

output[1:0]scan;

reg [15:0]cnt1;

reg[9:0]cnt3;

reg[6:0]seg7;

reg cnt2;

reg flag; //flag为状态转换标志reg[7:0]timed;

reg[1:0]scan;

reg[3:0]data;

reg clk1hz,clk1khz;

parameter s0=0,s1=1,s2=2,s3=3; //定义四种状态

reg[5:0]c_state,next_state,out;

always@(posedge clk)

begin

if(cnt1==19999)

begin

cnt1=0;clk1khz=~clk1khz;end

else

cnt1=cnt1+1'b1;

end //40Mhz→1khz

always@(posedge clk1khz)

begin

if(cnt3==499)

begin

cnt3=0;clk1hz=~clk1hz;end

else

cnt3=cnt3+1'b1;

end //分频代码,1khz→1hz

always@(posedge clk1hz, posedge rst)

begin

if(rst==1)

begin

c_state<=s0;

timed[7:4]<=4'h4;

timed[3:0]<=4'h0;

flag<=1;

end

else

begin

if(timed[7:4]==0&&timed[3:0]==0)

begin

timed[7:4]<=4'h4;

timed[3:0]<=4'h0;

c_state<=next_state;

if(flag==1)

begin

timed[7:4]<=4'h0;

timed[3:0]<=4'h5;

flag<=1'b0;

end

else

flag<=1;

end

else if(timed[7:4]!=0 && timed[3:0]==1) begin

timed[7:4]<=timed[7:4]-1'b1;

timed[3:0]<=9;

end

else

timed[3:0]<=timed[3:0]-1'b1;

end

end //时钟计时

always@(posedge clk1khz)

begin

if (cnt2==1)

cnt2=0;

else

cnt2=cnt2+1'b1;

end //数码管扫描计数

always@(cnt2,timed)

begin

case(cnt2)

0:begin data<=timed[3:0];scan<=2'b01;end 1:begin data<=timed[7:4];scan<=2'b10;end

default:begin data=timed[3:0];scan=2'b00;end endcase

end //数码管动态扫描

always@(c_state)begin

case(c_state)

s0:begin next_state<=s1;out<=6'b101011;end

s1:begin next_state<=s2;out<=6'b110011;end

s2:begin next_state<=s3;out<=6'b011101;end

s3:begin next_state<=s0;out<=6'b011110;end

endcase

end //状态转换

always@(data)

begin

case(data)

0:seg7=7'b0111111;

1:seg7=7'b0000110;

2:seg7=7'b1011011;

3:seg7=7'b1001111;

4:seg7=7'b1100110;

5:seg7=7'b1101101;

6:seg7=7'b1111101;

7:seg7=7'b0000111;

8:seg7=7'b1111111;

9:seg7=7'b1101111;

default:seg7=7'b0000000;

endcase

end //7段译码

endmodule

4综合与仿真

4.1 综合电路

步骤:Tools →Netlist Viewers →

RTL Viewer

图4-1 综合后的电路图

4.2 仿真电路

由于按源程序仿真的话要设置较大的时间,仿真需要很长时间,所以仿真时对源程序稍作修改,去掉两个分频过程语句,直接用40MHz信号定时,其仿真波形图如下图所示:

图4-2 仿真后的电路图

5 引脚锁定

编译成功后进行引脚锁定,引脚锁定表如下表:

表5.1 引脚锁定对应表

6 总结

在设计中采用Verilog HDL语言设计交通灯控制系统, 借助其功能强大的语言结构, 简明的代码描述复杂控制逻辑设计, 与工艺无关特性, 在提高工作效率的同时达到求解目的, 并可以通过Verilog HDL 语言的综合工具进行相应硬件电路生成, 具有传统逻辑设计方法所无法比拟的优越性。

在设计过程中,个人觉得最难的部分是波形仿真部分,虽然程序编译通过但仿真出不了正确的波形,不是计数器无法正常计数,就是控制输出无法进入到下一个状态,每次出现问题就必须返回重新修改程序。实践证明,在编写一个较复杂的程序时,一开始一定要画流程图,弄清楚各个功能及实现它们的逻辑算法,做到心中有数后在开始下笔写编写程序。在编写的时候要尤其要注意语言的规范,如本次设计中编写的Verilog在Quartus9.0中可以正常生成时序图,而在低版本的软件中却无法生成,原因就是语言使用不规范,在解决这个问题时我总结了一些经验,首先程序要逻辑清晰,简洁明了,避免不必要的嵌套与条用,其次要适当地给程序加上注解文字,提高可读性,以方便之后的程序出错时进行查找,最后充分利用仿真软件提供的各项编译工具与报错消息,按图索骥,有方向的完成程序调试。最后的仿真波形还是存在一些毛刺,但这已经算是很好的了。

完成仿真后进行,进行试验箱上的硬件调试,该步骤主要是要求细心,按照引脚清单,逐一完成连线,本次设计用到两个时钟输入,注意一定要选择合适频率的时钟,以便达到期望的效果。注意观察实物的现象,看是否满足设计要求,不满足时检查是硬件问题还是程序问题,如果是程序问题,在修改完之后必须要重新编译,重新烧入。不断排查错误,直至达到满意的效果。

通过这次课程设计,熟悉了简单EDA设计的整个流程,加深了对Verilog HDL硬件描述语言的理解,提高了动手能力,并且锻炼了自己的耐心,收获颇丰,我会把在本次课程设计中学到的东西应用到今后的工作学习中。最后感谢老师及各同学提供的帮助与指导。

参考文献

[1] 张明.Verilog HDL实用教程[M].电子科技大学出版社,1999

[2] 郭梯云.移动通信[M].西安电子科技大学出版社,1995

[3] 王成勇.智能交通灯控制系统[J].广东技术师范学院学报,2006

智能交通信号灯控制系统设计

智能交通信号灯控制系 统设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。 图 1 传统双机容硬件错示意图

微机原理十字路口红绿灯闪烁实验

实验三十字路口红绿灯闪烁实验 一、实验目的 通过对红绿黄灯的控制,熟练掌握8255A接口芯片的编程方法 二、实验内容 对8255A接口芯片进行编程,使红黄绿发光二级管按照十字路口交通灯的形式点亮或者熄灭。 三、线路连接 发光二级管共8个,其中两组红黄绿灯用六个,L2、L3、L4为一组,L5、L6、L7、为一组;试验台连接如图所示。高电平时,灯点亮。 四、编程提示 1、红、黄、绿灯变化规律 设有一个十字路口,两组灯分别代表东西和南北两个方向,其红黄绿灯变化规律为: (1)两个路口红灯全亮 (2)南北路口绿灯亮,东西路口红灯亮 (3)南北路口绿灯灭,东西路口红灯亮 (4)两个路口黄灯闪烁 (5)两个路口红灯全亮 (6)东西路口绿灯亮,南北路口红灯亮 (7)东西路口绿灯灭,南北路口红灯亮

(8)两个路口黄灯闪烁 (9)转向(2)循环执行 2. 设置8255A 方式控制字 设置8255A 的端口C 工作在方式0,为输出。D0、D1控制绿灯,D2、D3控制黄灯,D4、D5控制红灯。需要点亮哪一位指示灯时,8255A 相应端口对应为就输出“1”。 五、流程图 Y N 两个路口红灯亮 延时 南北路口绿灯亮,东西路口红灯亮 有键按下? 延时 南北路口绿灯灭 两个路口黄灯闪烁 两个路口红灯亮 延时 显示提示信息 东西路口绿灯灭 读端口C 数据并保存 两个路口红灯亮 延时 设控制字为80H(端口C 均为输出) 东西路口绿灯亮,南北路口红灯亮 延时 两个路口黄闪烁 设控制字为81H(端口C 低四位为输入) 读/写 结束 开始

六、实验程序 DATA SEGMENT IOPORT EQU 0D880H-280H IO8255C EQU IOPORT+282H IO8255T EQU IOPORT+283H PB DB ? MESS DB 'ENTER ANY KEY CAN EXIT TO DOS!',0DH,0AH,'$' DATA ENDS STACK SEGMENT STACK STA DW 50 DUP(?) TOP EQU LENGTH STA STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,ES:DATA,SS:STACK START: MOV AX,DATA MOV DS,AX MOV ES,AX MOV AH,09H MOV DX,OFFSET MESS INT 21H MOV DX,IO8255T MOV AL,81H OUT DX,AL MOV DX,IO8255C IN AL,DX MOV PB,AL MOV DX,IO8255T MOV AL,80H OUT DX,AL MOV DX,IO8255C MOV AL,PB OR AL,0CH OUT DX,AL MOV AL,30H OUT DX,AL CALL DELAY10 LLL: MOV DX,IO8255C MOV AL,12H OUT DX,AL CALL DELAY10 CALL DELAY10 CALL DELAY10 CALL DELAY10

交通信号灯控制系统

交通信号控制系统 1. 设计任务 设计一个十字路口交通控制系统,要求: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是30秒、3秒和30秒,交通灯运行的切换示意图如图1-1 所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任意一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止记时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 2.总体框图 本系统主要由分频计、计数器和控制器等电路组成,总体框图如1-2所示。分频计将晶振送来的信号变为1Hz时钟信号;当紧急制动信号无效时,选择开关将1Hz脉冲信号送至计数器进行倒计时计数,并使控制器同步控制两路红、黄、绿指示灯时序切换;当紧急制动信号有效时,选择开关将紧急制动信号送至计数器使其停止计数,同时控制器控制两路红灯全亮,所有车辆停止运行。 2-1 交通灯总体结构框图 3 模块设计 (1)分频器 设晶振产生的信号为2MHz,要求输出1Hz时钟信号,则分频系数为2M,需要21位计数器。用VHDL设计的2M分频器文本文件如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin2m IS PORT(clk:IN STD_LOGIC; reset:IN STD_LOGIC; --时钟输入 clk_out:out STD_LOGIC); END ENTITY fenpin2m; ARCHITECTURE one OF fenpin2m IS signal count:integer range 0 to 1999999; BEGIN PROCESS(clk) BEGIN if reset='1' then count<=0; clk_out<='0'; else if clk'EVENT and clk='1'THEN IF count<999999 THEN count<=count+1; clk_out<='0'; ELSif count<1999999 then count<=count+1; clk_out<='1'; else count<=0; END IF; END IF; END IF; END PROCESS ; END one; (2) 模30倒计时计数器 采用原理图输入法,用两片74168实现。74168为十进制可逆计数器,当U/DN=0时实现9~0减法计数,记到0时TCN=0;当U/DN=1时实现0~9加法计数,计到9时TCN=0;ENTN+ENPN=0时执行计数,否则计数器保持。该电路执行减法计数,当两片计数器计到0时同步置数,因此该计数器的计数范围是29~0,当系统检测到紧急制动信号有效时,CP=0计数器停止计数。

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

十字路口交通信号灯设计 数字电路

毕业设计说明书(论文) 课题名称:十字路口交通信号灯控制电路设计 航空电子设备维修专业 081331班 学生姓名:张林瑶学号 01 指导老师:姚卫华技术职称______________ 2011年 3 月 19 日

毕业设计(论文)任务书 学生姓名:张林瑶班级:081331 1.毕业设计(论文)题目:十字路口交通信号灯控制电路设计 2.毕业设计(论文)使用的原始资料数据及设计技术要求: 1、数字电子技术基础简明教程; 2、集成电子技术基础; 3、电路与数字逻辑设计实践 十字交叉路口分纵、横两组信号灯控制,每组有红、绿、黄三色信号灯显示。各干道亮灯顺序为:红—>绿—>黄—>红—>绿……,红灯、黄灯、绿灯的亮灯时间分别为35S、5S、30S。(黄灯5S为闪烁),分别用2位(共4位)LED显示纵、横两路的绿灯亮时间。 3.毕业设计(论文)工作内容及完成时间: 本设计通过对秒信号源产生电路,延时电路,状态控制电路,倒计时计数电路,译码、驱动、显示电路等单元电路的设计完成控制电路的设计。日期:自2010年12月15日至2011年3月19日 指导老师评语: ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________________________________________________ ________________________ 指导老师:_______________ 系主任:____________

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明 一、操作面板示意图: 二、修改程序的基本步骤: 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 步骤1、按住“显示程序”键,听毕 “啼”音后进入程序修改操作; 步骤2、显示[-0 0·7 00] 步骤3、显示[- 0 02·02 设定第一段程序开始运行的时间,按数字下 面相对应的“减”或“加”来调整时分。 显示内容说明:当前显示的是“-0 0.7 00” “-0”的含义指的是当前设定的是第一段程 序。“07 00”的含义是指时间,在以下三个 步骤中设定的程序将在凌晨7点钟开始运 行。用“·”的位置指示当操作步骤的进度, 在以下几个步骤中“·”点的位置往后移。 设定干线与支线左转弯绿灯时间,按加减来 调整干线或支线左转弯绿灯时间,注意:调 整为02.02则控制器工作于两相位模式。 步骤4、显示[- 0 2 5 2·5] 设定参数,一般不需修改,如需修改按数字 下面相对应的按键。第一位”2”代表黄灯过渡 到红灯时红灯持续时间为2秒,第二位”2” 代表绿灯过渡到黄灯时黄灯持续时间为2 秒,第三位”5”代表绿闪次数5次,第四位数 是右转弯绿灯的运行模式。 步骤5、显示[- 0 2 2 5 8·] 设定干线与支线直线绿灯时间,左边的两位 数是干线的,右边的两位数是支线的,按数 字相对应的“减”或“加”来调整绿灯时间。

三、修改多时段程序的步骤: 在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1初始化出厂设置如下:(四相位设置:直线先行)

十字路口红绿灯规则

十字路口下车会被处罚吗? 没罚单没拍照就没事,破哨子没事,我上次在市区跑,挂的假牌,133,走到红灯,一看有交警,利马变更车道,右转了,破哨子嗷嗷的叫,我都没理。 按交通法规定: 开关车门不得妨碍其他车辆和行人通行,你在行车道上开关车门,肯定算是交通违法行为。不过一般的摄像头拍不到,除非是人工值守的摄像头和交警当场查处。所以下次注意就行了 停车就已经违反交规了~~ 驾车行经交叉路口不按规定行车或者停车的,一次记2分。 拍照是电子探头来拍照不过好多路段是路面监控你需要辨识下那些是探头哪些是监控这样开起来才心中有数 要是被拍到了就是2分200块钱 老交通法中规定,距离路口50米内不允许停车,停车罚款100元,扣1分。新交通法规定,距路口100米内不允许停车,停车罚款100--200之间,扣2分。 十字路口通行规则 首先红灯停绿灯行是大前提。如果红绿灯都是带有方向箭头指示的,就必须严格按照本车道所行进方向的灯号控制来通行;如果红绿灯都是满屏圆形灯号,绿灯时就直行和左转右转均可通行,红灯时左转和直行都不能通行,但是可以右转(除非路口有“红灯时禁止右转”警示标示)。 如果是左转右转时间问题,你可以找个会开的坐在你副驾,你边开变知道,开车没有纸上谈兵的,没效果,开几天就好很多。还有现在黄灯的时候也别穿停车线,看到黄灯了,就刹车把,哪怕停在停车线前面也不要紧;如果是绿灯的时候过了停车线,那还是可以过去的。转弯么先看车道,变对车道,在看灯,有些路口有转弯灯的,那比较好办,没转弯灯的,左转要先让对面直

行,右转时,如果是你这个方向绿灯,那当心右边的非机动车和行人,如果是横向马路绿灯,那要当心左边过来的车,基本还是要让直行的。 正常的圆灯信号,信号灯时红的时候,可以右转,但是必须保证你自身的安全情况下,靠马路的最右侧,注意车辆,这个时候直行不可以,左转也不可以,绿灯的时候,直行,左转,右转都可以,一定要注意车辆,在实线的地方不能宾道,一定在虚线区完成你要走的路线,一定要按照规定路线行驶。祝您出行安全,愉快,希望能帮到您。国信号灯的时候一定按照要求做,绿灯的时候行驶,红灯的时候停止。按照路线行驶,直行是绿灯的时候,左转是红灯,这个时候只能直行,不可以左转。右转正常就可以了,红灯停止,绿灯通行。看好国际信号的指示灯。 1。遇到红灯时车(包括自行车摩托车电动车)不能直行,也不能左转,但可以右转通行(不管在那个路口,右转不受红绿灯限制)。2。遇到绿灯时车可以直行,也可以左转右转(特别注意红绿灯上面的指示方向箭头)。3。方向灯为绿灯时是可以向红绿灯上面的指示方向箭头方向行驶。4。方向灯为红灯时是不可以向红绿灯上面的指示方向箭头方向行驶。红灯时,是不许左转弯的。 如果是国际信号,它会显示左转绿灯,可以左转弯。红灯时是可以右转弯的。但有很少一部分地方要按右转弯指示灯右转。道路交通安全法实施条例第三十八条机动车信号灯和非机动车信号灯表示: (一)绿灯亮时,准许车辆通行,但转弯的车辆不得妨碍被放行的直行车辆、行人通行; (二)黄灯亮时,已越过停止线的车辆可以继续通行; (三)红灯亮时,禁止车辆通行。在未设置非机动车信号灯和人行横道信号灯的路口,非机动车和行人应当按照机动车信号灯的表示通行。红灯亮时,右转弯的车辆在不妨碍被放行的车辆、行人通行的情况下,可以通行。第三十九条人行横道信号灯表示: (一)绿灯亮时,准许行人通过人行横道;

对交通信号灯的控制

毕业论文题目:对交通信号灯的控制 毕业论文要求:十字路口的交通指挥信号灯如图所示:控制要求如下: (1)信号灯受一个起动开关控制,当起动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。当起动开关断开时,所有信号灯都熄灭。 (2)南北绿灯和东西绿灯不能同时亮,如果同时亮时应关闭信号灯系统,并报警。(3)南北红灯亮维持25S。在南北红灯亮的同时东西绿灯也亮,并维持20S。到20S 时,东西绿灯闪烁,闪烁3S后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2S。到2S时,东西黄灯熄,东西红灯亮。同时,南北红灯熄灭,南北绿灯亮。 (4)东西红灯亮维持30S。南北绿灯亮维持25S。然后闪烁3S,熄灭。同时南北黄灯亮,维持2S后熄灭,这时南北红灯亮,东西绿灯亮。 (5)周而复始。 毕业论文主要内容:随着社会经济和城市交通的快速发展,城市规模的不断扩大,交通 日益繁忙,红绿灯已经成为疏导交通最常见和最有效的手段。 红绿灯采用红、黄、绿三种颜色组成。绿灯是通行信号,面对绿灯车辆可以直行,左右转弯;红灯是禁止通行信号,面对红灯车辆必须停止前进;黄灯是等待信号,面对黄灯车辆不能越过停车线,等待信号指示。 城市红绿灯一般采用可编程控制器,其中采用PLC程序控制的在实际使用中占有很大的比例。信号一般采用三种控制形式。第一种为传统红绿灯,即在红绿灯之间转换,绿灯变红灯时加黄灯来缓冲;第二种是在传统红绿灯基础上加上绿灯闪烁(以下简称绿闪)功能,即在绿灯将要结束之际加上闪烁,其目的是提醒车辆,并保留黄灯缓冲时间;第三种是数字显示红绿灯,这是目前大城市所用最多的红绿灯,这种是在第二种红绿灯基础上加左右转弯和倒计时显示。 另外人行道的红绿灯对行人和车辆起到秩序化的放行和安全交通的交通设备。人行道上的红绿灯也与马路上的红绿灯大同小异,设计方法也基本相同。 第一章设计方案 1.1 设计基础 此次PLC编程方法均与以S7-200作为背景机。 1.2 方案选择 这次给的方案有三种,一种是传统红绿灯,即绿灯切换到红灯之前用黄灯缓冲,而红灯到绿灯没有黄灯缓冲,这种红绿灯没有人行道上的红绿灯;第二种是普通红绿灯,就是在传统红绿灯基础上加上人行道红绿灯,人行道上只有红、绿两种灯;第三种是大型红绿灯,这种红绿灯是在普通红绿灯基础上加左右转弯和倒计时显示。下面就来介绍这三种红绿灯:方案一传统红绿灯 十字路口每个方向各有一组红绿灯,共四组。这种红绿灯控制简单方便。但是缺点是只适合小型城市或者没有行人过马路和马路两边架设天桥的十字路口。现今已经无法满足较大城市

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯及控制系统设备安装与施工详解

交通信号灯及控制系统设备安装与施工详解 交通信号系统包括机箱、灯杆、SCATS检测线圈、电缆与电线、取电电源、防雷与接地、管井与管道等设施设备,下面介绍各个部分的材料、安装要求和施工工序。 机箱 1.信号机箱无特殊情况时一般安装在路口的西南角。 2.信号机箱的安装应考虑设置在人行横道上视野宽阔、不妨碍行人及车辆通行、能观察到交叉口的交通状况和信号灯的变化状况、并能容易驳接电源的地点。 3.信号机箱的基础位置与人行横道的路缘距离应在50~100cm,与路缘平行,基础高于地面20cm,平面尺寸应和信号机箱底座尺寸一致,地面以下的水泥钢筋基础至少70cm 深。 4.在有可能积水的地面安装信号机箱时,应适当增加基础高度,防止信号机被积水淹没。 5.信号机箱安装完毕后,应将机箱底部的接线孔用填充物密封,防止潮气侵蚀。 6.信号机箱安装时,保护接地线、避雷器接地线的接地施工应符合GB50169《电气装置安装工程接地装置施工及验收规范》的规定;接地完毕,测量信号机箱接地电阻小于4Ω。 灯杆 灯杆制作 1.信号灯杆所属的立柱、法兰盘、地脚螺栓、螺母、垫片、加强筋等金属构件及悬臂、支撑臂、拉杆、抱箍座、夹板等附件的防腐性能应符合GB/T18226《高速公路交通工程钢构件防腐技术条件》的规定。 2.信号灯杆应采用圆形或多棱形经热镀锌处理的钢管制造。 3.信号灯杆安装前须经过防锈处理,底层喷涂富锌防锈底漆,外层喷涂银灰色瓷漆。 4.机动车立柱式灯杆距路面约350mm 处留有拉线孔和拉线孔门,人行道和非机动立柱式灯杆距路面约300mm 处留有拉线孔和拉线孔门。 5.立柱式灯杆拉线孔门应设有防盗措施,孔内设置接地端子座,以便接驳地线。 6.立柱式灯杆顶部安装灯具处应留有出线孔,并配备橡胶护套、电缆线回水弯挂钩,灯杆顶部应安装塑料或经防腐处理的内套式金属防水管帽。 7.悬臂式灯杆悬臂杆与支撑杆使用圆形或多棱形的变截面型材制作,悬臂与灯杆连接端宜焊接固定法兰盘,悬臂下应留有进线孔和出线孔。 8.悬臂式灯杆拉杆宜使用圆钢制作,一端配有可调距离的螺旋扣,直径和长度根据悬臂长度确定。 9.信号灯杆杆体底部应焊接固定法兰盘,法兰盘与杆体之间应均匀焊接加强筋。 灯杆安装 1.悬臂式灯杆支撑臂使用抱箍、抱箍座与灯杆连接固定;拉杆与灯杆、拉杆与悬臂、支撑臂与悬臂可使用夹板连接固定;安装时使用的固定螺栓、螺母、垫圈应使用热镀锌件并用弹簧垫圈压紧。 2.紧固标准件全部采用不锈钢材料。 3.信号灯杆安装应保证杆体垂直,倾斜度不得超过±0.5%。 4.信号灯杆安装应有足够的强度,能抵抗12 级大风或者一般移动物体的撞击。 5.信号灯杆保护接地电阻应小于4Ω。 SCATS检测线圈 材料要求

带倒计时显示的十字路口交通信号灯控制 课设plc

电气工程学院 课程设计说明书 设计题目:十字路口带倒计时显示交通信号灯控制系统系别:电气工程及其自动化系 年级专业: 学号: 学生姓名: 指导教师:

电气工程学院《课程设计》任务书 课程名称:电气控制与PLC课程设计 基层教学单位:电气工程及自动化系指导教师:郭忠南等学号学生姓名(专业)班级 设计题目十字路口带倒计时显示交通信号灯控制系统 设 计 技术参数采用PLC构成十字路口带倒计时显示的南北向和东西向交通信号灯的电气控制。控制要求查阅相关文献。 设计要求1) 根据控制要求,进行电气控制系统硬件电路设计,包括PLC硬件配置电路。 2) 根据控制要求,编制PLC控制程序 3) 按要求编写设计说明书并绘制A1幅面图纸一张。 参考资料1、《PLC电气控制技术》漆汉宏主编机械工业出版社 2008 2、图书馆各类期刊文献相关数据库 3、相关电气设计手册 周次第一周第二周 应完成内容完成全部方案设计: 周一、二:查、阅相关参考资料 周二至周五:方案设计 周六、日:设计方案完善 周一、二:完成设计说明书 周三、四:绘制A1设计图纸 周五:答辩考核 指导教师签字基层教学单位主任签字

燕山大学PLC课程设计报告书 摘要 本文采用三菱FX2N-MR80的可编程控制器,通过对交通信号灯控制时序要求的分析以及对PLC硬件电路及梯形图的设计,完成十字路口带倒计时显示交通信号等控制系统。对于顺序控制,因为步进指令具有条理清楚、编程方便、直观、易于实现等特点,本文以三菱PLC指令系统的步进指令控制交通信号灯,并列出了步进梯形指令的状态转移图、梯形图以及指令表。该系统可完成十字路口交通信号灯的启停、自动循环工作、手动东西交通信号灯常绿以及手动南北交通信号灯常绿,并用数码管显示交通灯显示剩余时间倒计时。 关键词: PLC 交通灯步进指令倒计时

微机原理课程设计交通信号灯的控制

微机原理课程设计 一.设计任务及要求: 交通信号灯的控制: 1.通过8255A并口来控制LED发光二极管的亮灭。 2.A口控制红灯,B口控制黄灯,C口控制绿灯。 3.输出为0则亮,输出为1则灭。 4.用8253定时来控制变换时间。 要求:设有一个十字路口,1、3为南,北方向,2、4为东西方向,初始态为4个路口的红灯全亮。之后,1、3路口的绿灯亮,2、4路口的红灯亮,1、3路口方向通车。延迟30秒后,1、3路口的绿灯熄灭,而1,3路口的黄灯开始闪烁(1HZ)。闪烁5次后,1、3路口的红灯亮,同时2、4路口的绿灯亮,2、4路口方向开始通车。延迟30秒时间后,2、4路口的绿灯熄灭,而黄灯开始闪烁。闪烁5次后,再切换到1、3路口方向。之后,重复上述过程。 二.方案比较及评估论证: 分析题意,红,黄,绿灯可分别接在8255的A口,B口和C口上,灯的亮灭可直接由8086输出0,1控制。30秒延时及闪烁由8253控制,由闪烁的实现方法可分为两种方案: 方案一: 设8253各口地址分别为:设8253基地址即通道0地址为04A0H;通道1为04A2H;通道2为04A4H;命令控制口为04A6H。 黄灯闪烁的频率为1HZ,所以想到由8253产生一个1HZ的方波, 8255控制或门打开的时间,在或门打开的时间内,8253将方波信号输入或门使黄灯闪烁。由于计数值最大为65535,1MHZ/65536的值远大于2HZ,所以采用两个计数器级联的方式,8253通道0的clock0输入由分频器产生的1MHZ时钟脉冲,工作在方式3即方波发生器方式,理论设计输出周期为0.01s的方波。1MHZ的时钟脉冲其重复周期为T=1/1MHZ=1 s,因此通道0的计数初值为10000=2710H。由此方波分别作为clock1和clock2的输入时钟脉冲,所以通道1和通道2的输入时钟频率为100HZ,通道1作计数器工作在方式1,计数初值3000=BB8H既30s,计数 口,8255将A口数据输入到8086,8086检测到则输出一个高电平到8255的PA 7 到高电平既完成30s定时。通道2工作在方式3需输出一个1HZ的方波,通过一个或门和8086共同控制黄灯的闪烁,因此也是工作在方波发生器方式,其计数初值为100=64H,将黄灯的状态反馈到8055的端口PB7和PC7,同样输入到8086,8086通过两次检测端口状态可知黄灯的状态变化,计9次状态变化可完成5次闪烁。

交通信号灯的PLC控制教(学)案

交通信号灯的PLC控制 使用教材:《可编程序控制器及其应用》(中国劳动社会保障) 授课班级:10秋电气班(中职二年级学生) 学生人数:30人 教学容:基于课本《基本指令综合运用》一节的容作拓展学习 授课类型:理实一体 一、教学目标 知识技能目标:1.进一步学习PLC的编程。 2.掌握交通信号灯的控制原理。 专业能力目标:培养学生的动手操作能力和自主探究能力。 职业情感目标:通过“工学一体化”的课堂教学,让学生边学边做,体验学习的充实与快乐。在小组合作学习中,互相交流促进,增强学生合作意识。 二、教学重点与难点 重点:交通灯PLC控制电路的组装与工作过程。 难点:交通灯PLC程序设计、调试运行。 三、教法与学法 教法:项目教学法(确定项目、制定计划、实施计划、检查评估、记录归档、知识延伸) 学法:小组学习法(将学生分为六个小组,每个小组设工段长、操作工等不同岗位,让学生分别明确自己的任务和职责。) 四、课时、教具 课时:2课时 教具:PLC与变频器实训室(集PLC编程电路安装、教室功能于一体,并具有多媒体演示功能);主要设备:S7-200 PLC、计算机、万用表。 五、教学程序 本次项目实施设置了六个环节,如图所示:

六、教学过程 教学过程一、确定项目(约10分钟) 采用创设工作情境的方式引入项目: 假设道路上没有交通灯,世界将变成什么样子?实际生活通灯是如何控制的?如果用我们所学的PLC知识,应该如何完成? 一组同学展示: 1.彩色图片展示:搜集十字路口交通灯图片。 2.交通灯工作演示:通过多媒体展示交通灯工作示意图,各组同学在操作中认识交通灯工作原理。 项目任务描述: 1、启动:当按下启动按钮时,信号灯系统开始工作。 2、停止:当需要信号灯系统停止工作时,按下停止按钮即可。 采用创设情境的方式引入项目,此方式使学生更直观了解本节课的实训项目,引起学生的学习兴趣。 充分发挥学生的自主能动性,使学生充分参与到教学活动中来。

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

交通信号灯的自动控制

交通信号灯的自动控制 1. 设要求以及主要内容 (1) 2.总体设计 (1) 2.1.555秒脉冲模块设计 (1) 2.2.控制单元设计 (2) 2.2.1 4秒定时电路 (2) 2.2.2 6秒定时电路 (3) 2.2.3 25秒定时电路 (4) 2.2.4 JK时序电路 (4) 2.2.5时序信号 (6) 3.设计心得 (6) 4.参考文献 (7) 5.附录 (8)

交通灯的自动控制 1. 设要求以及主要内容 1.通常情况下,大道绿灯亮,小道红灯亮; 2.若小道来车,大道经6秒由绿灯变为黄灯;再经过4秒,大道由黄灯变为红灯,同时,小道由红灯变为绿灯; 3. 小道变绿灯后,若大道来车不到3辆,则经过25秒钟后自动由红灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯; 4.如果小道在绿灯亮时,小道绿灯亮的时间还没有到25秒,只要大道检测到已经超过3辆车在等候,那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯。 2.总体设计 首先由一个555发生产生一个秒脉冲,提供给FPGA一个时钟信号,然后经过控制单元处理以后输出给信号灯。总体原理框图如图1 图1 原理框图 2.1.555秒脉冲模块设计 产生秒信号的电路有多种形式,如图2 是利用555 定时器组成的秒信号发生器。当接通电源以后,因为电容上的初始电压为零,无哦一输出为高电平,并开始经电阻R向电容C充电。当充到输入电压为V1=Vt+时,输入跳变为低电平,电容C又经过电阻R开始放电。当放电至V1=Vt-时,输出电位又跳变成高电平,电容C重新开始充电如此周而复始,电路便不停地振荡。V1和Vo的电压波形如图3所示。因为该电路输出脉冲的周期为T≈0.7(R1+2R2)C。若T=1S,令C=10,R1=39K,则。取固定电阻与的电位器相串联代替电阻R2。在调试电路时,调试电位器R P,使输出脉冲为1s。

多时段十字路口交通信号灯PLC控制系统设计

电气控制课程设计 设计题目:基于S7-200 PLC十字路口交通信号灯控制系统的设计 姓名:覃水桥 班级:电气11203 学号:12032411 指导教师:张明 成绩评定: 2015年6月16日

内容摘要 本课程设计利用西门子公司的S7-200可编程逻辑控制器对十字路口的交通灯进行控制,同时系统具有一定的智能性,可以对交通灯实现高峰期、正常期及晚间三个时段进行分段控制。它们分别和各自的时序图相对应,从而控制交通灯的信号。 本系统采用主程序调用子程序的设计方案,通过主程序调用当前时间,对时间段的判别而调用相关的子程序,达到设计要求。为了达到时间一致性的目的,时钟的校对是不可缺少的,所以我设计了校对时间的子程序SBR_0。 主程序使用了调用子程序,设定实时时钟指令,读实时时钟指令,移位指令,BCD 码与整数转换指令,乘法指令,加法指令,比较指令等等。子程序尽管比较长,但是相对来说指令简单些,它主要使用了定时器,触点和输出线圈等等。 1.控制要求 1、系统工作受开关控制,起动开关 ON 则系统工作;起动开关 OFF 则系统停止工作; 2、控制对象: 东西方向红灯两个,南北方向红灯两个, 东西方向黄灯两个,南北方向黄灯两个, 东西方向绿灯两个,南北方向绿灯两个, 东西方向左转弯绿灯两个,南北方向左转弯绿灯两个。 3、控制规律: (1)高峰时段,交通信号灯按时序图2-2运行;正常时段,交通信号灯按时序图2-3运行;晚上时段按提示警告方式运行,规律为:东、南、西、北四个黄灯全部闪亮,其余灯全部熄灭,黄灯闪亮按亮 0.4 秒,暗 0.6 秒的规律反复循环。

(2)高峰时段、正常时段及晚上时段的时序分配按时序图2-1运行; 图2-1 时段分配时序图

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明一、操作面板示意图: 三、修改多时段程序的步骤:

在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1(自动2)设置如下:

详细产品功能及参数 JD-400LED交通信号灯 一.技术参数: 1.外壳防护等级IP44,显示器的光学、色度和安全性能指标均 达到GB14887的要求。 2.亮度:≥350cd,可视距离:≥400M,可视角:≥60°。 3.色度:红色 630nm,黄色590nm,绿色505nm。 4.控制方式:与控制器同步,工作方式:连续。 输入电压:交流220V±10%,消耗功率峰值:<15W。 二. 产品特点: 1.使用寿命长达5万小时,维修工作量小。 2.本产品发光亮度高,是普通灯泡亮度的4倍以上,可视距离在 400以外。 3.节约能源,灯盘使用低压安全电源

DJS-3通用型双色真绿倒计时显示器 一.技术参数: 1、外壳防护等级IP44,外形尺寸:830×630×230mm。 2、显示器的光学、色度和安全性能指标均达到GB14887的要求 3、可视距离:≥400m,视角:>30°,亮度:≥250cd 最大显示数字:99。 4、色度:红色 630nm,绿色505nm。 5、控制方式:定周期自动跟踪,工作方式:连续。 6、输入电压:交流220V±10%,消耗功率峰值:<10W 。 7、适用于两相位、多相位控制,内部自动转换。 一.产品特点: 1、不须更换原有设备可直接接入原信号灯的红灯线及绿灯线即可 工作。 本产品显示亮度高,显示色度绿色为真绿色,非常醒目

相关主题
文本预览
相关文档 最新文档