当前位置:文档之家› 数字电路功能与实现

数字电路功能与实现

数字电路功能与实现
数字电路功能与实现

实验课程名称:FPGA原理及应用

(1)用Verilog HDL语言设计8位计数器,进行功能仿真验证;

(2)使用chipscope-Pro 生成ILA/ICON 核,在线观测调试。

1.3实验准备

(1)将USB 下载电缆与计算机及XUPV2Pro 板的J8 连接好;

(2)将RS232 串口线一端与计算机连接好,另一端与板卡的J11 相连接;

(3)启动计算机后,将XUPV2Pro 板的电源开关SW11 打开到ON 上。观察XUPV2Pro 板上的+2.5V,+3.3V,+1.5V 的电源指示灯是否均亮,若有不亮的,请断开电源,检查电源;

1.4实验步骤

一.4位全加器实验

(1)创建工程及设计输入

1)在E:\project\目录下,新建名为count8的新工程;

器件族类型(Device Family)选择“Virtex2P”

器件型号(Device)选“XC2VP30 ff896 -7”

综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”

仿真器(Simulator)选“ISE Simulator”

2)设计输入,在源代码窗口中单击右键,在弹出的菜单中选择New Source,在弹出的对话框中选择Verilog Moudle ,在右端的File name 中输入源文件名adder4,下面各步点next,然后在弹出的源代码编辑框内输入前面所示的源代码并保存即可。

(2) 功能仿真

1)在sources窗口sources for中选择Behavioral Simulation;

2)由Test Bench WaveForm添加激励源,如下图所示。

图8-2 波形激励编辑窗口

然后在processes窗口中点击simulater behavioral model即开始仿真,仿真结果如下:

图8-3 仿真结果

从图中可以验证由Verilog HDL语言设计的4位全加器的工作是正确的。由于此全加器没有时钟输入,所以不必进行User Constraints。

(3)用chipscope进行在线调试

这里使用的是核生成法。

1)生成chipscope核

代码比较简单,这里只需要ICON和VIO这两个核即可。打开chipscope pro core generator,下面的8-4图至8-6图是ICON核的生成过程,8-7图至8-8图是VIO核的生成过程。

图8-4 操作示意

图8-5操作示意

在output netlist位置指向adder4所在的路径,在device family里选virtex2p器件,由于只用了VIO核,所以ICON的控制端口数设置为1。

图8-6 操作示意

如上图中选定HDL语言为verilog,综合工具为xilinx XST。

在接下来的VIO和生成过程中,选定VIO前的复选框进入VIO核的生成过程。路径也选择adder4所在位置,器件类型为virtex2p,然后在输入输出端口设置过程中选定异步输入端口和异步输出端口。如下面两图所示。

图8-7 操作示意

图8-8 操作示意

2)添加ICON和VIO核到工程

点击file>open,在adder4所在位置找到icon_xst_example.v和vio_xst_example.v文件并打开,将两部分的模块声明加到源代码中endmodule后面,然后分别将icon_xst_example.v,vio_xst_example.v示例中例化模块所用到的代码加到adder4.v相应的位置,并进行修改,最后得到的代码如下:

module adder4(cout,sum);

output[3:0] sum;

output cout;

wire [3:0] ina,inb;

wire cin;

wire [35:0]control0;

wire [13:0] async_in;

wire [8:0] async_out;

icon i_icon

(

.control0(control0)

);

vio i_vio

(

.control(control0),

.async_in(async_in),

.async_out(async_out)

);

assign async_in[3:0]=ina[3:0];

assign inb[3:0]=async_out[7:4];

assign cin=async_out[8];

assign {cout,sum}=ina+inb+cin;

endmodule

module icon

(

control0

);

output [35:0] control0;

endmodule

module vio

(

control,

async_in,

async_out

);

input [35:0] control;

input [13:0] async_in;

output [8:0] async_out;

endmodule

然后在ISE里进行综合、实现并generate programming file。

3)在chipscope里观测调试

点击analyze design using chipscope进入chipscope pro analyzer窗口,点击图标,找到前面生成的比特文件,在所用器件名上右击然后选configuration将bit文件下载到板子上,然后打开vio consle窗口,在这里即可进行观测调试,调试结果如下面两图所示。

图8-9 调试结果

图8-10 调试结果

在这里可以看出全加器的设计是正确的。

二.触发器实验

(1)D触发器设计

1)创建工程及设计输入

◆在E:\project\目录下,新建名为dtrigger的新工程;

器件族类型(Device Family)选择“Virtex2P”

器件型号(Device)选“XC2VP30 ff896 -7”

综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”

仿真器(Simulator)选“ISE Simulator”

◆设计输入,在源代码窗口中单击右键,在弹出的菜单中选择New Source,在弹出的对话框中选择Verilog Moudle ,在右端的File name 中输入源文件名dtrigger,下面各步点next,然后在弹出的源代码编辑框内输入D触发器的源代码并保存即可。

2)功能仿真

◆在sources窗口sources for中选择Behavioral Simulation;

◆由Test Bench WaveForm添加激励源,如下图所示;

然后在processes窗口中点击simulater behavioral model开始仿真,仿真结果如下:

图8-15仿真结果

从图中可以验证由Verilog HDL语言设计的D触发器的工作是正确的。

3)添加引脚约束

◆首先对生成的工程进行综合;

◆添加引脚约束。双击User Constraints 下的Assign Package Pins,

4)C hipscope进行调试

这里也是用的核生成法,所用到的核有ICON核和VIO核。

◆生成ICON核和VIO核

核的生成过程同4位加法器里的生成过程一样。ICON的生成中文件路径指向dtrigger所在位置,器件类型为virtex2p,控制端口数为1,语言为verilog,综合工具为xilinx XST。VIO的生成中,异步输入端口宽度为3,异步输出端口数为1。

◆添加ICON和VIO核到工程

点击file>open,在dtrigger所在位置找到icon_xst_example.v和vio_xst_example.v文件并打开,将两部分的模块声明加到源代码中endmodule后面,然后分别将icon_xst_example.v,vio_xst_example.v示例中例化模块所用到的代码加到dtrigger.v相应的位置,并进行修改,最后得到的代码如下:

module dtrigger(Q,QB,clk);

input clk;

output Q,QB;

reg Q,QB;

wire D;

wire [35:0]control0;

wire [2:0]async_in;

wire async_out;

assign async_in[0]=D;

assign async_in[1]=Q;

assign async_in[2]=QB;

assign D=async_out;

icon i_icon

(

.control0(control0)

);

vio i_vio

(

.control(control0),

.async_in(async_in),

.async_out(async_out)

);

always @(negedge clk)

begin

Q<=D;

QB=~Q;

end

endmodule

module icon

(

control0

);

output [35:0] control0;

endmodule

module vio

(

control,

async_in,

async_out

);

input [35:0] control;

input [2:0] async_in;

output [0:0] async_out;

endmodule

◆在chipscope里观测调试

点击analyze design using chipscope进入chipscope pro analyzer窗口,点击

图标,找到前面生成的比特文件,在所用器件名上右击然后选configuration将bit 文件下载到板子上,然后打开vio console窗口,在这里即可进行观测调试,调试结果如下面两图所示。

图8-17调试结果

图8-18 调试结果

(2)JK触发器设计

1)创建工程及设计输入

◆在E:\project\目录下,新建名为jktrigger的新工程;

器件族类型(Device Family)选择“Virtex2P”

器件型号(Device)选“XC2VP30 ff896 -7”

综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”

仿真器(Simulator)选“ISE Simulator”

◆设计输入,在源代码窗口中单击右键,在弹出的菜单中选择New Source,在弹出的对话框中选择Verilog Moudle ,在右端的File name 中输入源文件名jktrigger,下面各步点next,然后在弹出的源代码编辑框内输入JK触发器的源代码并保存即可,工程名称为jktrigger。

2)功能仿真

由Test Bench WaveForm添加激励源,如下图所示。

图8-19 波形激励编辑窗口

仿真结果如下图所示:

图8-20仿真结果

由图中可以看出JK触发器的设计是正确的。

3)添加引脚约束

◆首先对生成的工程进行综合;

◆添加引脚约束。双击User Constraints 下的Assign Package Pins,由于采用chipscope进行调试,因此引脚约束只需要添加时钟引脚的约束就可以了,如图8-21所示。

图8-21引脚约束

4)Chipscope进行调试

用核生成法,所用到的核有ICON核和VIO核。

按照前面的步骤生成ICON核和VIO核并将它们添加到工程。ICON核的控制端口数为1,VIO核的异步输入端口宽度为4,异步输出端口宽度为2。

最后得到的代码如下:

(

control,

async_in,

async_out

);

input [35:0] control;

input [3:0] async_in;

output [1:0] async_out;

endmodule

在chipscope pro analyzer里的VIO console里观测到的调试结果如下面几图所示:

图8-22调试结果

J=1,K=0时,将Q置1。

图8-23调试结果

J=0,K=0时,Q保持不变。

图8-24调试结果

J=0,K=1时,将Q置0

图8-25调试结果

图8-26 调试结果

J=1,K=1时可以看到Q和QB不断地翻转。因此,从调试结果分析可知JK触发器的设计是正确的。

(3)JK触发器转换为D触发器的设计

1)创建工程及设计输入

◆在E:\project\目录下,新建名为jkdtrigger的新工程;

器件族类型(Device Family)选择“Virtex2P”

器件型号(Device)选“XC2VP30 ff896 -7”

综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”

仿真器(Simulator)选“ISE Simulator”

◆设计输入,在源代码窗口中单击右键,在弹出的菜单中选择New Source,在弹出的对话框中选择Verilog Moudle ,在右端的File name 中输入源文件名jkdtrigger,

下面各步点next,然后在弹出的源代码编辑框内输入JK触发器的源代码并保存即可,工程名称为jkdtrigger。

2)功能仿真

由Test Bench WaveForm添加激励源,如下图所示:

图8-27波形激励编辑窗口

仿真结果如下图所示:

图8-28仿真波形

从图中可以看出此设计是正确的。

3)添加引脚约束

◆首先对生成的工程进行综合;

◆添加引脚约束。双击User Constraints 下的Assign Package Pins,由于采用chipscope进行调试,因此引脚约束只需要添加时钟引脚的约束就可以了,如图所示:

图8-29引脚约束

4)Chipscope进行调试

用核生成法,所用到的核有ICON核和VIO核。

按照前面的步骤生成ICON核和VIO核并将它们添加到工程。ICON核的控制端口数为1,VIO核的异步输入端口宽度为5,异步输出端口宽度为1。

最后得到的代码如下:

module jkdtrigger(cp,Qn,Qnb);

input cp;

output Qn,Qnb;

wire D;

wire J,K;

wire [35:0]control0;

wire [4:0]async_in;

wire async_out;

assign async_in[0]=D;

assign async_in[1]=J;

assign async_in[2]=K;

assign async_in[3]=Qn;

assign async_in[4]=Qnb;

assign D=async_out;

icon i_icon

(

.control0(control0)

);

vio i_vio

(

.control(control0),

图8-30调试结果

图8-31调试结果

从图中可以看出此触发器的设计是正确的。

三.8位计数器实验

(1) 创建工程及设计输入

1)在E:\project\目录下,新建名为count8的新工程;

器件族类型(Device Family)选择“Virtex2P”

器件型号(Device)选“XC2VP30 ff896 -7”

综合工具(Synthesis Tool)选“XST (VHDL/Verilog)”

仿真器(Simulator)选“ISE Simulator”

2)设计输入,输入上面所提到的代码,保存后如下图所示。

图8-32设计输入

(2) 功能仿真

1)在sources窗口sources for中选择Behavioral Simulation;

2)由Test Bench WaveForm 添加激励源,由于本工程中源代码比较简单,只需要对reset信号进行适当的设置就可以了;

图8-33仿真波形

(3) 添加引脚约束

1)首先对生成的工程进行综合;

2)添加引脚约束。双击User Constraints 下的Assign Package Pins,由于采用chipscope进行调试,因此引脚约束只需要添加时钟引脚的约束就可以了,如图所示:

图8-34 引脚约束

(4) 添加chipscope核

由于要对模块进行实时的控制,因此在这里需要2个ICON核,1个ILA核,1个VIO 核。采用核生成法,生成核的声明分别如下:

//-------------------------------------------------------------------

// ICON core module declaration

//-------------------------------------------------------------------

module icon

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路与逻辑设计模拟题

《数字电路与逻辑设计》模拟题(补) 一. 选择题(从四个被选答案中选出一个或多个正确答案,并将代号写在题中的括号内) 1.EEPROM 是指( D ) A. 随机读写存储器 B. 一次编程的只读存储器 C. 可擦可编程只读存储器 D. 电可擦可编程只读存储器 2.下列信号中,( B C )是数字信号。 A .交流电压 B.开关状态 C.交通灯状态 D.无线电载波 3.下列中规模通用集成电路中,( B D )属于时序逻辑电路. A.多路选择器74153 B.计数器74193 C.并行加法器74283 D.寄存器74194 4.小数“0”的反码形式有( A D )。 A .0.0……0 B .1.0……0 C .0.1……1 D .1.1……1 5.电平异步时序逻辑电路不允许两个或两个以上输入信号(C )。 A .同时为0 B. 同时为1 C. 同时改变 D. 同时作用 6.由n 个变量构成的最大项,有( D )种取值组合使其值为1。 A. n B. 2n C. n 2 D. 12-n 7.逻辑函数∑= )6,5,3,0(),,(m C B A F 可表示为( B C D ) 。 A.C B A F ⊕⊕= B.C B A F ⊕⊕= C.C B A F ⊕⊕= D.C B A F ⊙⊙= 8.用卡诺图化简包含无关条件的逻辑函数时,对无关最小项( D )。 A .不应考虑 B.令函数值为1 C .令函数值为0 D .根据化简的需要令函数值为0或者1 9.下列逻辑门中,( D )可以实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 10.设两输入或非门的输入为x 和y ,输出为z ,当z 为低电平时,有( A B C )。 A .x 和y 同为高电平 B . x 为高电平,y 为低电平 C .x 为低电平,y 为高电平 D . x 和y 同为低电平 11.下列电路中,( A D )是数字电路。 A .逻辑门电路 B. 集成运算放大器 C .RC 振荡电路 D. 触发器 12.在下列触发器中,输入没有约束条件的是( C D )。 A.时钟R-S 触发器 B.基本R-S 触发器 C.主从J-K 触发器 D.维持阻塞D 触发器 13.标准与-或表达式是由( B )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 14.设计一个模10计数器需要( B )个触发器。 A . 3 B. 4 C .6 D .10 15.表示任意两位无符号十进制数至少需要( B )二进制数。 A .6 B .7 C .8 D .9 16.4线-16线译码器有( D )输出信号。 A . 1 B. 4 C .8 D .16

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电路与逻辑设计实验实验四

中山大学南方学院 电气与计算机工程学院 课程名称:数字电路与逻辑设计实验实验题目:译码显示电路

附:实验报告 专业:电子信息科学与技术年级:18 完成日期:2020年7月05日学号:182018010 姓名:叶健行成绩: 一、实验目的 (一)掌握中规模集成译码器的逻辑功能和使用方法。 (二)熟悉数码管的使用。 二、实验原理 (一)数码显示译码器 1、七段发光二极管(LED)数码管 LED数码管是目前最常用的数字显示器,图1 (a)、(b)为共阴管和共阳管的电路,(c)为两种不同出线形式的引出脚功能图。 一个LED数码管可用来显示一位0~9十进制数和一个小数点。小型数码管(0.5寸和0.36寸)每段发光二极管的正向压降,随显示光(通常为红、绿、黄、橙色)的颜色不同略有差别,通常约为2~2.5V,每个发光二极管的点亮电流在5~10mA。LED数码管要显示BCD码所表示的十进制数字就需要有一个专门的译码器,该译码器不但要完成译码功能,还要有相当的驱动能力。 (a) 共阴连接(“1”电平驱动)(b) 共阳连接(“0”电平驱动)

(c) 符号及引脚功能 图1 LED 数码管 2、BCD 码七段译码驱动器 此类译码器型号有74LS47(共阳),74LS48(共阴),CC4511(共阴)等,本实验系采用74LS48 BCD 码锁存/七段译码/驱动器。驱动共阴极LED 数码管。图2为74LS48引脚排列。 其中 A 、B 、C 、D — BCD 码输入端 a 、 b 、 c 、 d 、 e 、 f 、 g — 译码输出端,输出“1”有效,用来驱动共阴极LED 数码管。 LT — 灯 测试输入端,LT =“0”时,译码输出全为“1” BI R — 灭 零 输入端,BI R =“0”时,不显示多余的零。 RBO /BI — 作为输入使用时,灭灯输入控制端; 作为输出端使用时,灭零输出端。 (二)扫描式显示 对多位数字显示采用扫描式显示可以节电,这一点在某些场合很重要。对于某些系统输出的的数据,应用扫描式译码显示,可使电路大为简化。有些系统,比如计算机,某些A/D 转换器,是以这样的形式输出数据的:由选通信号控制多路开关,先后送出(由高位到低位或由低位到高位)一位十进制的BCD 码,如图(三)所示。图中的Ds 称为选通信号,并假定系统按先高位后低位的顺序送出数据,当Ds1高电平送出千位数,Ds2高电平送出百位数,……一般Ds 的高电平相邻之间有一定的间隔,选通信号可用节拍发生器产生。 如图(四)所示,为这种系统的译码扫描显示的原理图。图中各片 LED

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电路设计实例

数字电路综合设计案例 8.1 十字路口交通管理器 一、要求 设计一个十字路口交通管理器,该管理器自动控制十字路口两组红、黄、绿三色交通灯,指挥各种车辆和行人安全通过。 二、技术指标 1、交通管理器应能有效操纵路口两组红、黄、绿灯,使两条交叉道路上的车辆交替通行,每次通行时间按需要和实际情况设定。 2、在某条道路上有老人、孩子或者残疾人需要横穿马路时,他们可以举旗示意, 执勤人员按动路口设置的开关,交通管理器接受信号,在路口的通行方向发生转换时,响应上述请求信号,让人们横穿马路,这条道上的车辆禁止通行,即管理这条道路的红灯亮。 3、横穿马路的请求结束后,管理器使道口交通恢复交替通行的正常状态。 三、设计原理和过程: 本课题采用自上而下的方法进行设计。 1.确定交通管理器逻辑功能 ⑴、十字路口每条道路各有一组红、黄、绿灯,用以指挥车辆和行人有序地通行。其中红灯亮表示该条道路禁止通行;黄灯亮表示停车;绿灯亮表示通行。因此,十字路口车辆运行情况有以下几种可能: ①甲道通行,乙道禁止通行; ②甲道停车线以外的车辆禁止通行(必须停车),乙道仍然禁止通行,以便让甲道停车线以内的车辆安全通过; ③甲道禁止通行,乙道通行; ④甲道仍然不通行,乙道停车线以外的车辆必须停车,停车线以内的车辆顺利通行。 ⑵、每条道路的通车时间(也可看作禁止通行时间)为30秒~2分钟,可视需要和实际情况调整,而每条道路的停车时间即黄灯亮的时间为5秒~10秒,且也可调整。 ⑶、响应老人、孩子或残疾人特殊请求信号时,必须在一次通行—禁止情况完毕后, 阻止要求横穿的那条马路上车辆的通行。换句话说,使另一条道路增加若干通行时间。 设S1和S2分别为请求横穿甲道和乙道的手控开关,那么,响应S1或S2的时间必定在甲道通乙道禁止或甲道禁止乙道通两种情况结束时,且不必过黄灯的转换。这种规定是为了简化设计。 由上述逻辑功能,画出交通管理器的示意图如图8-1所示,它的简单逻辑流程图如图8-2所示。示意图中甲道的红、黄、绿灯分别用R、Y、G表示,而乙道的红、黄、绿灯分别用r、y、g表示。简单逻辑流程图中设定通行(禁止)时间为60秒,停车时间为10秒。

数字电路与逻辑设计习题-2016

数字电路与逻辑设计习题-2016

- 2 - 一、选择题 1. 以下表达式中符合逻辑运算法则的是 D 。 A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 2. 一位十六进制数可以用 C 位二进制数来表示。 A. 1 B. 2 C. 4 D. 16 3. 当逻辑函数有n 个变量时,共有 D 个变量取值组合? A. n B. 2n C. n 2 D. 2n 4. 逻辑函数的表示方法中具有唯一性的是 A 。 A .真值表 B.表达式 C.逻辑图 D.状态图 5. 在一个8位的存储单元中,能够存储的最大无符号整数是 D 。 A.(256)10 B.(127)10 C.(128)10 D.(255)10 6.逻辑函数F=B A A ⊕⊕)( = A 。 A.B B.A C.B A ⊕ D. B A ⊕ 7.求一个逻辑函数F 的对偶式,不可将F 中的 B 。 A .“·”换成“+”,“+”换成“·” B.原变量换成反变量,反变量换成原变量 C.变量不变 D.常数中“0”换成“1”,“1”换成“0” 8.A+BC= C 。

A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 9.在何种输入情况下,“与非”运算的结果是 逻辑0。 D A.全部输入是0 B.任一输入是0 C. 仅一输入是0 D.全部输入是1 10.在何种输入情况下,“或非”运算的结果 是逻辑1。 A A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为 1 11.十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 12.不与十进制数(53.5)10等值的数或代码 为 C 。 A.(0101 0011.0101)8421BCD B.(35.8)16 C.(110101.11)2 D.(65.4)8 13.以下参数不是矩形脉冲信号的参数 D 。 A.周期 B.占空比 C.脉宽 D.扫 描期 14.与八进制数(47.3)8等值的数为: B A. (100111.0101)2 B.(27.6)16 C.(27.3 )16 D. (100111.101)2 15. 常用的BCD码有 D 。 A.奇偶校验码 B.格雷码 C.ASCII码 D.余三码 - 3 -

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电路与逻辑设计实验总结报告

第二次实验是Quartus11原理图输入法设计,由于是第一次使用Quartus11软 件,实验中遇到了不少问题,总结起来主要有以下几个: (1)在创建工程并且编译通过之后得不到仿真波形 解决方法:经过仔细检查,发现在创建符号文件时,未对其重新命名,使得符号文件名与顶层文件的实体名一样。在改变符号文件名之后成功的得到了仿真波形。 (2)得到的仿真波形过于紧密不便于观察 解决方法:重新对仿真域的时间进行设定,并且对输入信号的周期做相应的调整,最终得到了疏密有致的仿真波形。 实验总结及心得体会 通过本次实验我初步掌握了Quartus11的使用方法,并且熟悉了电路板的使用。在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。 实验操作中应特别注意的几点: (1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。 (2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。 (3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。 (4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。 (5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。 心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。 第三次实验是用VHDL语言设计组合逻辑电路和时序逻辑电路,由于Quartus11软件在之前已经使用过,所以本实验的主要任务就是编写与实验要求相对应的VHDL程序。 总体来说此次实验比较顺利,基本没有遇到什么问题,但有几点需要特别注意。首先是要区分实体名称和结构体名,这一点是程序编写的关键。其次在时序逻辑电路的设计实验中时钟的设置很关键,设置不当的话仿真波形可能不正确。 通过本次实验我初步学会用VHDL语言编写一些简单的程序,同时也进一步熟悉了Quartus11软件的使用。 实验八彩灯控制电路设计与实现 一、实验目的 1、进一步了解时序电路设计方法

数字电路和模拟电路的区别

什么是数字电路? 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路。现代的数字电路由半导体工艺制成的若干数字集成器件构造而成。逻辑门是数字逻辑电路的基本单元。存储器是用来存储二值数据的数字电路。 数字电路的特点 1,电路结构简单,稳定可靠。数字电路只要能区分高电平和低电平即可,对元件的精度要求不高,因此有利于实现数字电路集成化。 2,数字信号在传递时采用高,低电平两个值,因此数字电路抗干扰能力强,不易受外界干扰。 3,数字电路不仅能完成数值运算,还可以进行逻辑运算和判断,因此数字电路又称为数字逻辑电路或数字电路与;=逻辑设计。4,数字电路中元件处于开关状态,功耗较少。 由于数字电路具有以上特点,故发展十分迅速,在计算机、数字通信、数字仪器及家用电器等技术领域中得到广泛的应用。 什么是模拟电路? 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。模拟信号是关于时间的函数,是一个连续变化的量。数字信号则是离散的量。举个简单的例子:要想从远方传过来一段由小变大的声音,用调幅、模拟信号进行传输(相应的应采用模拟电路),那么在传输过程中的信号的幅度就会越来越大,因为它是在用电信号的幅

度特性来模拟声音的强弱特性。但是如果采用数字信号传输,就要采用一种编码,每一级声音大小对应一种编码,在声音输入端,每采一次样,就将对应的编码传输出去。可见无论把声音分多少级,无论采样频率有多高,对于原始的声音来说,这种方式还是存在损失。不过,这种损失可以通过加高样频率来弥补,理论上采样频率大于原始信号的频率的两倍就可以完全还原了。 数字集成电路:主要是针对数字信号处理的模块。如;计算机里的2近制、8近制、10近制、16近制的数据进行处理的集成模块。数字集成电路的运行以开关状态经行运算,它的精度高适合复杂的计算。模拟集成电路:主要是针对模拟信号处理的模块。如;话筒里的声音信号,电视信号和VCD输出的图象信号、温度采集的模拟信号和其它模拟量的信号处理的集成模块。模拟集成电路工作在晶体管的三角放大区。(1)电路处理的是连续变化的模拟量电信号(即其幅值可以是任何值)。(2)信号的频范围往往从直流一直可以延伸到高频段。(3)模拟集成电路中的无器件种类多,除了数字集成电路中大量采用的NPN管及电阻外,还采用了PNP管,场效应晶体管,高精度电阻等。(4)除了应用于低电压电器中的电路处,大多数模拟集成电路的电源电压较高,输出级模拟集成电路的电源电压可达几十伏以上。(5)具有内繁外简的电路形式。充分发挥了集成电路的工艺特点和便于应用的特点 另外,数字电路和模拟电路的区别还有:

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

2018秋数字电路与逻辑设计实验课程要求及题目

2018~2019学年第一学期 《数字电路与逻辑设计实验(下)》课程要求 一、课程安排及要求: 本学期数字实验教学内容为综合课题设计,教学方式采用开放式实验教学模式,第7周和第10周实验按班上课,第8周和第9周实验室全开放,学生根据开放实验安排自行选择实验时间和地点,要求每人至少参加2次课内开放实验。 课程具体安排如下: 二、成绩评定 数字综合实验成绩由三部分组成: ●平时成绩:占总成绩的20% ●验收答辩:占总成绩的50% ●报告成绩:占总成绩的30% 实验报告评分标准如下(按百分制批改,占总成绩的30%):

三、实验题目 题目1 抽油烟机控制器的设计与实现 利用CPLD器件和实验开发板,设计并实现一个抽油烟机控制器。 基本要求: 1、抽油烟机的基本功能只有两个:排油烟和照明,两个功能相互独立互不影响。 2、用8×8双色点阵模拟显示烟机排油烟风扇的转动,风扇转动方式为如图1所示的四 个点阵显示状态,四个显示状态按顺序循环显示。风扇转动速度根据排油烟量的大小分为4档,其中小档的四个显示状态之间的切换时间为2秒,中档为1秒,大排档为0.5秒,空档为静止不动(即停止排油烟),通过按动按键BTN7来实现排油烟量档位的切换,系统上电时排油烟量档位为空档,此后每按下按键BTN7一次,排油烟量档位切换一次,切换的顺序为:空档→大档→中档→小档→空档,依次循环。 双色点阵模拟排油烟风扇转动示意图 3、设置按键BTN0为立即关闭按键,在任何状态下,只要按下BTN0,排油烟风扇就 立即停止工作进入空档状态。 4、设置按键BTN3为延时关闭按键,在大中小三档排油烟状态的任何一个档位下,只 要按下BTN3,排油烟风扇将在延时6秒后停止工作进入空档状态。延时期间用数码管DISP3进行倒计时显示,倒计时结束后,排油烟风扇状态保持静止不动。在延时状态下,禁用排油烟量档位切换键BTN7。 5、设置按键BTN6为照明开关键,用发光二极管LD6模拟照明灯,系统上电时照明灯 LD6处于关闭状态,按动BTN6来切换LD6的点亮和关闭。 6、系统工作稳定。 提高要求: 1、给油烟机加上音效,分档模拟排油烟风扇的噪音。 2、自拟其他功能。

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

最新数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”, 并在划线处改正。每题2分,共10分) 1.原码和补码均可实现将减法运算转化为加法运算。 ( )

模拟电路与数字电路期末复习试卷

模拟电路试卷一 一.填空题 1.PN结未加外部电压时,扩散电流漂流电流,加正向电压时,扩散电流漂流电流,其耗尽层;加反向电压时,扩散电流漂流电流,其耗尽层。 2.三极管工作在饱和区时,发射结为,集电结为,工作在放大区时,发射结为,集电结为,此时,流过发射结的电流主要是,流过集电结的电流主要是。 3.场效应管属于控制器件。场效应管从结构上分成 和两大类型。 4.绝缘栅型场效应管又分为和,两者区别是。 5.若希望减小放大电路从信号源索取的电流,应采取反馈;若希望取得较强的反馈作用而信号源内阻又很大,应采用反馈;当负载变化时,若希望输出电流稳定。应采用反馈。 6.某负反馈放大电路的闭换放大倍数A f=100,当开环放大倍数A变化+10%时,A f的相对变化量在+0.5%以内,则这个放大电路的开环放大倍数A,反馈系数为。 二.选择题 1.温度升高后,在纯净的半导体中() A.自由电子和空穴数目都增多,且增量相同 B.空穴增多,自由电子数目不变 C.自由电子增多,空穴不变 D.自由电子和空穴数目都不变 2.如果PN结反向电压的数值增大(小于击穿电压),则() A.阻当层不变,反向电流基本不变 B.阻当层变厚,反向电流基本不变 C.阻当层变窄,反向电流增大 D.阻当层变厚,反向电流减小 3.某放大电路在负载开路时的输出电压为4V,接入3kΩ的负载电阻后输出电压降为3V,这说明放大电路的输出电阻为() A.10kΩ B.2kΩ C.1kΩ D.0.5kΩ 4.在放大电压信号时,通常希望放大电路的输入电阻和输出电阻分别为() A.输入电阻小,输出电阻大 B.输入电阻小,输出电阻小 C.输入电阻大,输出电阻小 D.输入电阻大,输出电阻大 5.场效应管主要优点() A.输出电阻小 B.输入电阻大 C.是电流控制 D.组成放大电路时电压放大倍数大 6.在负反馈放大电路中,当要求放大电路的输入阻抗大,输出阻抗小时,应选用的反馈类型()。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

相关主题
文本预览
相关文档 最新文档