当前位置:文档之家› 声音的录制与编辑实验报告参考模板

声音的录制与编辑实验报告参考模板

声音的录制与编辑实验报告参考模板
声音的录制与编辑实验报告参考模板

实验报告

课程名称:计算机音频视频处理实验名称:声音的录制与编辑

所在学院:

姓名:学号:

实验时间:

一、实验目的

(1)掌握利用Adobe Audition进行录音。

(2)掌握利用Adobe Audition对所录制的音乐进行降噪等各种处理,使声音听起来很丰满,同时加入背景音乐,进行混合处理,提高这段音频的震摄力。(3)熟悉一种音频处理软件的使用方法。

(4)通过声音加深对声音数字化的了解。

二、实验环境

1.高档微机:MPC(附带耳机和麦克风)。

2.操作系统:Windows XP中文版。

3.音频处理软件:Audition CS 3。

三、实验内容

制作配乐诗,利用Adobe Audition录音,在此过程中朗诵一首诗歌,对声音进行各种编辑,包括对所录制的音频文件进行降噪处理。插入背景音乐,再进行进一步的完美编辑。完成实验后导出在一个文件夹中。并把实验过程撰写成实验报告文档。

四、实验步骤

把实验所用的素材存放在一个文件夹中。

(1)素材准备:上百度mp3搜一首背景音乐,根据所选择的音乐长度及风格准备一篇诗歌,使得朗诵文章所用的时间小于背景音乐的长度。当然,也可以先准备诗歌,再根据诗歌内容情调选一首符合的背景音乐。把背景音乐和朗诵的诗分别储存在一个文件夹中。文件名可分别为“朗诵诗、背景音乐”

(2)录音前的准备。在录音前先要对声卡进行简单的录音设置。

○1实验中请戴好耳机,以免影响别人,同时也可以使自己听得更清楚。

○2双击Windows任务栏右侧的音量图标,打开“音量控制”对话框。如图1所示。

“音量控制”对话框

○3选择“选项”/“属性”菜单项,在打开的“属性”对话框中,在“调节音量”框中选择“录音”单选按钮,然后在“显示下列音量控制”框中勾选“麦克风”复选框。如图2所示。

图2“属性”对话框

○4单击“确定”按钮调整音量到合适的位置。

如果听不到麦克风中的声音,则执行“程序”->“附件”->“娱乐”->“音量控

制”。将麦克风选项下的静音取消,然后试一下有没有声音。注意,试好声音以后,要将麦克风选项下的静音重新设置好。

图3 “录音”对话框

○5如果想录音质量更好可以单击“高级”按钮,打开“麦克风的高级控制”对话框,在此对话框的“其它控制”框中勾选“麦克风加强”复选框。

图-4 “麦克风的高级控制”对话框

6关闭所有的对话框,完成对声卡的设置。 (3)启动Adobe Audition 程序,单击“编辑查看”按钮,进入单轨波形编辑界面。

图6 录音前准备

2)按下“传送器”面板中的红色录音按钮开始录制声音,结束后再等待几秒钟,录进去一段环境噪音,为后期进行采样降噪获取样本。单击“停止”按钮结束录

音。如图7所示。

图-7 录音

3)单击“播放”键进行试听,检查录制的声音有无严重的出错,是否要重新录制。

4

)检查确认无误后,双击录制的音频文件,进入单轨波形编辑界面,将音频以红色

录音

正录

“朗诵诗.mp3”文件名保存在指定文件夹中。

(4)编辑音频,删除前后没声音即空白的地方。试听多几遍,如果中间某段朗诵间隔太短,可以复制空白处然后粘贴到指定位置。

(5)降噪。

1)在单轨波形编辑界面中,放大波形,选中一段刚录的纯噪音,时间长度不少于0.5秒。

2)选择“效果”/“恢复”/“降噪(处理)”菜单项,打开“降噪”对话框,

如图8所示,在此对话框中单击“采集预置文件”按钮,进行噪音采样。

图-8 降噪采样

3)单击“选择整个文件”按钮,以对整个音频文件进行降噪处理。

4)在如图-9所示的对话框中设置相应的参数值后,单击“确定”按钮,系统就开始自动清除噪声。

图9 “降噪”对话框

(9)降噪处理结束,试听确认无误后,点击保存。

(10)对自己制作的音频文件处理效果满意后,切换到多音轨编辑界面。(11)在左侧面板及朗诵诗文件下面双点击鼠标左键,然后选择所存的背景音乐。(11)打开多轨界面中,在轨道一处点击鼠标右键“插入/背景音乐”,然后在

轨道二处插入朗诵诗如图10

图10

(12)在背景音乐中间处点击右键“分离”,点击右段然后按右键“删除”,把背景音乐另一端删除后,调整前段的长度。然后点击主群组上面标题框第三个键

后,把朗诵诗音频拉向背景音乐轨道的右边一点。如图11

图11

图12,淡入淡出处理图

(13)进行淡入淡出处理拖动节点,形成“/-----\”型曲线,拖动节点时要注意,淡入淡出至少需要4个节点,峰段需要平稳的保持上升的或在最后保持直线下降。根据所读诗的内容声调等调节何时淡入淡出。如图12

(14)为了增加音效,可对音频进行回声处理。先暂停播放音频,然后在轨道处按下“fx”后按下“主控”然后出现下图的版面,然后点循环播放,先点击lock left/right复选框将左右声道关联。在此过程中不断地跳动下图所示的滑轮,直到听到声音听起来很丰满。当然,也可以根据情况选择Delay的延时效果,模拟各种房间效果,模拟空中回声、隧道、立体声远处演示效果等。

图13

(15)选择“文件/导出/混音频/ MP3格式”保存文件,命名为“学号+姓名+配乐诗朗诵”实验就完成了。

五、结果与分析

六、心得体会

音频编辑实验报告

音频编辑实验报告 姓名:戴俏波班级:机电1113班学号:11223064 一.实验内容及任务要求 1. 内容:学习audition的使用 2. 要求:广播剧的设计与制作 二.实验步骤 1、选好广播剧剧本《卖火柴的小女孩》 2、在多轨视图界面用Audition完成素材的录音,步骤如下: (1)执行【选项】|【Windows录音控制台】,打开【录音控制】对 话框,进行相关设置。 (2)设置完毕后关闭【录音控制】对话框。 (3)打开剧本。 (4)单击【传送器】面板中的【录音】按钮,并切换到剧本,使用麦克 风进行剧本表演。完毕后,再次单击【录音】按钮,结束声音的录制。 (5)单击【传送器】面板的【播放】按钮,试听录制的效果。 (6)执行【文件】|【另存为】命令,保存录制的音频文件 3、对录制的音频在编辑模式下进行处理 (1)删减空白音频波形 (2)增加间隔时间 (3)对音频进行降噪处理 (4)修复破音 (5)增大音频音量

(6)为声音添加混响效果 (7)对处理后的音频进行局部修整,或调整局部的音量大小,或去除局部的噪音,使得整个音频听起来更加与谐。 4、给录制的音频添加背景音乐 (1)在网上下载背景音乐《卖火柴的小女孩》与其她配合使用的音乐素材,如风声,铃铛声,马蹄声,马啸声。 (2)将音乐添加到第二个音轨上,配合录制的音频的情境适当删减音频的长度或增加音频的长度。 (3)适当减小背景音乐声音的大小,使得二者相匹配。 5、试听录制的音频与背景音乐一起的效果,根据视听效果局部修整音频。 6、将修改后的广播剧保存成mp3格式。 三.实验感悟 1、由于音频实验就是几周前完成的,开始不知道要写实验报告,所以就没有截屏,只简单地介绍了一下具体进行的音频编辑操作,请老师谅解。 2、在进行音频编辑操作时,只选中自己想编辑的区域进行编辑,不要全部选择。比方说用降噪器效果,如果将音频全部选中,则全部降噪,不能达到预想的效果。 3、录音时不要离话筒太近,也不要太大声,否则波形振幅过大,不容易编辑而且容易失真。录音时尽量保持周围无噪音。 4、要及时保存,否则很容易丢失音频。

关于计算机实验报告的参考范文

关于计算机实验报告的参考范文 篇一 一、实验题目 文件和文件夹的管理 二、实验目的 1.熟悉Windows XP的文件系统。 2.掌握资源管理器的使用方法。 3.熟练掌握在Windows XP资源管理器下,对文件(夹)的选择、新建、移动、复制、删除、重命名的操作方法。 三、实验内容 1.启动资源管理器并利用资源管理器浏览文件。 2.在D盘创建文件夹 3.在所创建文件夹中创建Word文件。 4.对所创建文件或文件夹执行复制、移动、重命名、删除、恢复、创建快捷方式及设置共享等操作。 四、实验步骤 (一)文件与文件夹管理 1.展开与折叠文件夹。右击开始,打开资源管理器,在左窗格中点击“+”展开,点击“—”折叠 2.改变文件显示方式。打开资源管理器/查看,选择缩略、列表,排列图标等

3.建立树状目录。在D盘空白处右击,选择新建/文件夹,输入经济贸易学院,依次在新建文件夹中建立经济类1103 4..创建Word并保存。打开开始/程序/word,输入内容。选择文件/另存为,查找D盘/经济贸易学院/1103班/王帅,单击保存 5.复制、移动文件夹 6.重命名、删除、恢复。右击文件夹,选择重命名,输入新名字;选择删除,删除文件 7.创建文件的快捷方式。右击王帅文件夹,选择发送到/桌面快捷方式 8.设置共享文件。右击王帅,选择属性/共享/在网络上共享这个文件/确定 9.显示扩展名。打开资源管理器/工具/文件夹选项/查看/高级设置,撤销隐藏已知文件的扩展名 (二)控制面板的设置。 1.设置显示属性。右击打开显示属性/桌面、屏幕保护程序 2.设置鼠标。打开控制面板/鼠标/按钮(调整滑块,感受速度)、指针 3.设置键盘。打开控制面板/键盘/速度(调整滑块,感受速度)、硬件 4.设置日期和时间打开控制面板/日期和时间

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

音频技术实验报告

实验编号:四川师大《声音媒体技术》实验报告 2017年11月5日计算机科学学院级班实验名称:声音信号的编辑处理 姓名:学号:指导老师:实验成绩: 实验录音系统的连接和使用 一.实验目的及要求 (1)掌握录音系统的连接方法; (2)熟悉录音系统相应设备的功能,并熟练使用; (3)掌握录音系统功率匹配、阻抗匹配的原理; 二.实验内容 (1)利用阻抗匹配、功率匹配原理,实现录音系统连接; (2)熟练掌握阻抗匹配、功率匹配实现录音系统连接的工作原理; (3)熟悉录音系统各类设备的操作使用; 三.实验主要流程、步骤(该部分如不够填写,请另加附页) 1.利用阻抗匹配、功率匹配原理,实现录音系统连接。 (1)老师介绍调音台的各输入与输出端子的功能,以及其控制按钮的名称和作用。 (2)用转换头将电容式话筒连接到调音台,电容式话筒的插头插在1和2路录音孔中,(遵循阻抗匹配原理,一定要注意传输线的特性阻抗与所接负载阻抗的大小相等且相位相同,即传输线的输入端或输出端处于阻抗匹配状态); (3)再把监听耳机的插头插在监听插口。 (4)把调音台的输出端用连接线与电脑的主机连接,给电脑传送音频信号,(遵循阻抗匹配原理,电脑的功率要和传输线的输出功率匹配); (5)最后连接电源线 (6)MONITOR是总监听音量旋钮,调节该通路在监听线路中的音量大小。.通过调节HIGH、MIDDLE、LOW三段均衡器旋钮来调节声音大小打开电脑进行调试,测试录音能否正常工作。 2.熟练掌握阻抗匹配、功率匹配实现录音系统连接的工作原理。 (1)阻抗匹配是指负载阻抗与激励源内部阻抗相适配,得到最大功率输出的一种工作状态,阻抗匹配则传输功率大,内阻等于负载时,输出功率最大,此时阻抗匹配。 (2)设备输出功率要与负载阻抗一致。 3.熟悉录音系统各类设备的操作使用。 (1)POWER ON是调音台开关,当 ON 的一边被按下时,调音台便接通电源; (2)MIC是麦克风输入接口,LINE是高电平输入接口,MONITOR是监听输出接口; (3)电容式话筒的敏感度及其高,在录制声音史应该对准说话的人; (4)在调音台每一路输入通道上都有一组均衡旋钮,HIGH是高频,MID是中频,LOW是低频,高中低频率旋钮向左(顺时针)旋时,对应的频段就会得到提升,反之衰减。 四.实验结果的分析与评价(该部分如不够填写,请另加附页 1.阻抗匹配的方法有两种,一种是改变阻抗力,另一种是调整传输线的长度。 2.调音台可对输入的不同电平不同阻抗的音源信号进行放大、衰减、动态调整等,用附 带的均衡器对信号各频段进行处理,调整各通道信号的混合比例后,对各通道进行分配并送至各个接收端,控制现场扩声信号及录制信号。 3.调音台的输入信号大体上分为低阻话筒信号输入和高阻线路信号输入两种。 4.调音台输入插口基本可以分为3种:TRS,XLR,RCA。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

音频功率放大器实验报告

一、实验目的 1)了解音频功率放大器的电路组成,多级放大器级联的特点与性能; 2)学会通过综合运用所学知识,设计符合要求的电路,分析并解决设计过程中遇到的问题,掌握设计的基本过程与分析方法; 3)学会使用Multisim、Pspice等软件对电路进行仿真测试,学会Altium Designer使用进行PCB制版,最后焊接做成实物,学会对实际功放的测试调试方法,达到理想的效果。 4)培养设计开发过程中分析处理问题的能力、团队合作的能力。 二、实验要求 1)设计要求 设计并制作一个音频功率放大电路(电路形式不限),负载为扬声器,阻抗8Ω。要求直流稳压电源供电,多级电压、功率放大,所设计的电路满足以下基本指标: (1)频带宽度50Hz~20kHz,输出波形基本不失真; (2)电路输出功率大于8W; (3)输入阻抗:≥10kΩ; (4)放大倍数:≥40dB; (5)具有音调控制功能:低音100Hz处有±12dB的调节范围,高音10kHz 处有±12dB的调节范围; (6)所设计的电路具有一定的抗干扰能力; (7)具有合适频响宽度、保真度要好、动态特性好。 发挥部分: (1)增加电路输出短路保护功能; (2)尽量提高放大器效率; (3)尽量降低放大器电源电压; (4)采用交流220V,50Hz电源供电。 2)实物要求 正确理解有关要求,完成系统设计,具体要求如下: (1)画出电路原理图; (2)确定元器件及元件参数; (3)进行电路模拟仿真; (4)SCH文件生成与打印输出;

(5)PCB文件生成与打印输出; (6)PCB版图制作与焊接; (7)电路调试及参数测量。 三、实验内容与原理 音频功率放大器是一种应用广泛、实用性强的电子音响设备,它主要应用于对弱音频信号的放大以及音频信号的传输增强和处理。按其构成可分为前置放大级、音调控制级和功率放大级三部分,如图1所示。 v 图1 音频功率放大器的组成框图 1)前置放大级 音频功率放大器的作用是将声音源输入的信号进行放大,然后输出驱动扬声器。声音源的种类有多种,如传声器(话筒)、电唱机、录音机(放音磁头)、CD 唱机及线路传输等,这些声音源的输出信号的电压差别很大,从零点几毫伏到几百毫伏。一般功率放大器的输入灵敏度是一定的,这些不同的声音源信号如果直接输入到功率放大器中的话,对于输入过低的信号,功率放大器输出功率不足,不能充分发挥功放的作用;假如输入信号的幅值过大,功率放大器的输出信号将严重过载失真,这样将失去了音频放大的意义。所以一个实用的音频功率放大系统必须设置前置放大器,以便使放大器适应不同的输入信号,或放大,或衰减,或进行阻抗变换,使其与功率放大器的输入灵敏度相匹配。另外在各种声音源中,除了信号的幅度差别外,它们的频率特性有的也不同,如电唱机输出信号和磁带放音的输出信号频率特性曲线呈上翘形,即低音被衰减,高音被提升。对于这样的输入信号,在进行功率放大器之前,需要进行频率补偿,使其频率特性曲线恢复到接近平坦的状态,即加入频率均衡网络放大器。 对于话筒和线路输入信号,一般只需将输入信号进行放大和衰减,不需要进行频率均衡。前置放大器的主要功能一是使话筒的输出阻抗与前置放大器的输入阻抗相匹配;二是使前置放大器的输出电压幅度与功率放大器的输入灵敏度相匹配。由于话筒输出信号非常微弱,一般只有100μV~几毫伏,所以前置放大器输入级的噪声对整个放大器的信噪比影响很大。前置放大器的输入级首先采用低噪声电路,对于由晶体管组成的分立元件组成的前置放大器,首先要选择低噪声的晶体管,另外还要设置合适的静态工作点。由于场效应管的噪声系数一般比晶体管小,而且它几乎与静态工作点无关,在要求高输入阻抗的前置放大器的情况下,

实验报告格式模板-供参考

实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理 比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m 0—— 比重瓶的质重,g ; m s —— (比重瓶+粉体)的质重,g ; m sl —— (比重瓶+液体)的质重,g ; ρl —— 测定温度下浸液密度;g/cm 3; ρ—— 粉体的真密度,g/cm 3; 三.实验器材: l s sl l s m m m m m m ρρ) ()(00----=

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

化学实验报告格式模板.doc

化学实验报告格式模板 (以草酸中h2c2o4含量的测定为例) 实验题目:草酸中h2c2o4含量的测定 实验目的: 学习naoh标准溶液的配制、标定及有关仪器的使用; 学习碱式滴定管的使用,练习滴定操作。 实验原理: h2c2o4为有机弱酸,其ka1=5.9×10-2,ka2=6.4×10-5。常量组分分析时cka1>10-8,cka2>10-8,ka1/ka2<105,可在水溶液中一次性滴定其两步离解的h+: h2c2o4+2naoh===na2c2o4+2h2o 计量点ph值8.4左右,可用酚酞为指示剂。 naoh标准溶液采用间接配制法获得,以邻苯二甲酸氢钾标定: -cook -cooh +naoh=== -cook

-coona +h2o 此反应计量点ph值9.1左右,同样可用酚酞为指示剂。 实验方法: 一、naoh标准溶液的配制与标定 用台式天平称取naoh1g于100ml烧杯中,加50ml蒸馏水,搅拌使其溶解。移入500ml试剂瓶中,再加200ml蒸馏水,摇匀。 准确称取0.4~0.5g邻苯二甲酸氢钾三份,分别置于250ml 锥形瓶中,加20~30ml蒸馏水溶解,再加1~2滴0.2%酚酞指示剂,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。 二、h2c2o4含量测定 准确称取0.5g左右草酸试样,置于小烧杯中,加20ml蒸馏水溶解,然后定量地转入100ml容量瓶中,用蒸馏水稀释至刻度,摇匀。 用20ml移液管移取试样溶液于锥形瓶中,加酚酞指示剂1~2滴,用naoh标准溶液滴定至溶液呈微红色,半分钟不褪色即为终点。平行做三次。 实验数据记录与处理: 一、naoh标准溶液的标定

多媒体实验报告:声音的采集与处理

深圳大学实验报告 课程名称:多媒体技术及应用 实验项目名称:声音采集与处理 学院:传播学院 专业: 指导教师:王志强 报告人:刘立娜学号: 2012080286 班 级:4 实验报告提交时间: 2013.03.30 教务处制

一、实验目的与要求 1.通过实验加深对声音数字化的理解。 2.学会正确连接耳麦以及设置录音和放音的方法。 3.掌握声音录制方法并从网上下载音频文件。 4.掌握一种数字音频编辑软件的使用方法。 二、实验方法及步骤 1.实验方法:运用以前了解到的知识内容,在通过阅读书上的实验步骤进行操作。 2.实验步骤 ①Audition的启动与退出 ②录制音频、播放音频、导入音频 ③音频的剪辑 ④音频的特效 三、实验过程及内容 1.Audition的启动与退出 Audition是集声音录制、音频混合和编辑于一身的音频处理软件,它的主要功能包括录音、混音、音频编辑、效果处理、降噪、音频压缩与刻录音乐CD等,还可以与其它音频软件或视频软件协同合作。 Audition提供广泛的、灵活的工具箱,完全能够满足专业录音和专业视频用户的需求。利用Audition,可以录制多轨文件、编辑音频文件、创建原始音乐文件、混缩无限的音频轨道。 启动计算机进入Windows后,可以用鼠标单击任务栏中的“开始”在弹出的开始菜单中,将鼠标指针移到“所有程序—Adobe Audition3.0”菜单命令上,单击即可启动。或把 Audition快捷方式一到桌面上来,单击即可。

图2.1Audition应用程序窗口 如果要退出Audition,可以选择“文件—退出”菜单命令,或按Ctrl+Q组合键,也可以直接单击Audition应用程序窗口右上角的“关闭”在退出之前,如果有已修改的但未存盘的文件,系统会提示保存它。或者点击左上角的“文件—保存”。 图2.2保存提示图2.3 “另存为“对话框 2.录音、播放音频、导入音频 1)录音的操作过程:(单轨录音) 1.选择“文件—新建”菜单命令,这时会出现“新建波形”会话框,如图 2.4所示。选择适当的采样频率、采样分辨率和声道数,如选取44100Hz,16-bit和立体声就可以到达CD 音频效果。 图2.4“新建波形”对话框 2.单击“传送器”控制面板中的红色“录音”按钮,开始录音。对准话筒进行录音,完成后单击“传送器”控制面板的“停止”按钮即可。我们还可以通过控制时间长短来录音,在编辑视图中,选择“选项”菜单中的“时间录音模式”命令。在“传送器”控制面板中单击“录音”这时会出现“定时录音模式”对话框,如图2.5所示。在该对话框中,可以设置录制的时间长短和开始录音。设置完毕,单击“确定”开始按设置进行录音。 图2.5“定时录音模式”对话框

最新四年级上册科学实验报告单(声音是怎样产生的)

清镇市中小学实验报告单 实验科目:科学 真题:您是市政府的工作人员,需要调查大学生村官的工作情况,面对基层群众(农民)、基层工作人员分别作一个开场白。

【题型分析】情景模拟 【参考答案】 1.面对基层群众(农民):大叔大婶,你们好!我是咱们市政府的小王。眼看着一年又快到头了,今年的天儿不错,家里收成还好吧?……听说咱们这儿来了些大学生,到咱这儿当村官,不知道干的咋样啊?…… 2.面对基层工作人员:XX,你好!我是市政府的工作人员王XX(同时出示工作证),这次来到这里,主要是想调查一下大学生村官的工作情况,希望得到你们的配合和协助。你们长期在基层工作,比较辛苦,对于大学生们在村里的工作情况也比较了解。知道你工作很忙,所以我会尽量抓紧时间…… 真题:金无足赤,人无完人,您有什么缺点和不足?假如您被录用,您将怎么克服您的缺点和不足? 【题型分析】认识自己 【参考答案】每一个人都有自己的缺点和不足,我也一样。我认为我的缺点是有时候有点追求完美,这样一来有可能会拖慢办事的效率,偶尔也会给合作伙伴带来压力。有缺点和不足并不可怕,重要的是能够改正缺点,弥补不足。我将从以下几个方面加强学习,进行改正。 第一,摆正心态正确认识。在遇到每一项工作的时候,我都应该准确地把握工作实质、明确目标,在思想上做好充分准备。不能因为自己的喜好而偏离工作应有的方向和要求。 第二,制定工作计划表。在开始每一项工作的时候,我都要制定好详细的工作进度控制表,将每个阶段的工作目标、内容以及时间详细列好。在开展工作的时候严格按照计划表进行,以免拖慢工作的进程。借此来养成好的工作习惯,以提高办事效率。 第三,加强与同事合作。每一项工作都不可能是自己独立一个人能够做好的,都是需要和同事一起合作才能将其做到真正的完美。这就要求我要在以后的工作中,多多与同事交流,虚心向同事学习。 假如有幸我能够通过此次考试,在以后的工作岗位上,我将严格要求自己,加强学习,发扬优点,改正缺点,竭尽所能做好领导交办的每一项任务,做一名合格的公务员。 真题:您作为单位的新进工作人员,领导让您制定一份单位的规章制度,您对单位不熟悉,您将怎么办? 【题型分析】如何做事 【参考答案】俗话说:“无规矩不成方圆”,好的规章制度能够在保证单位良好工作秩序方面发挥积极的作用。领导将这项任务交予我完成,我一定会努力做好,我将从以下几个方面入手,开展此项工作。 首先,我要请示领导,明白领导制定此规章制度的宗旨和意图。鉴于我作为新进员工对工作单位还不熟悉,我会向同事进行询问,翻查单位档案,加深对单位的人员环境、工作环境的了解。另外,要广泛收集单位同事的意见。我将采取问卷调查的方式进行意见收集,可以使用相关软件来对收回的有效问卷进行信息处理和分析,切实了解员工的意见。此外我还要刻苦学习相关管理学知识,了解国家相关法律法规,为制定一份合理的单位规章制度做好充分的理论准备。 其次,在书写规章制度时,要遵守国家法律法规的规定,制定出合法有效的规章制度。与此同时,我还要根据之前所整理的员工意见,兼顾单位的实际情况,制定一份合乎人情的规章制度。在规章制度雏形完成之后,还要让单位同事对此发表自己的意见,在充分了解意见之后对其进行反复修改。与此同时,规章制度还要做到简洁凝练,通俗易懂。在修订好初

实验报告格式模板

实验报告格式模板 实验报告的书写是一项重要的基本技能训练。它不仅是对每次实验的总结,更重要的是它可以初步地培养和训练学生的逻辑归纳能力、综合分析能力和文字 表达能力,是科学论文写作的基础。因此,参加实验的每位学生,均应及时认真地书写实验报告。要求内容实事求是,分析全面具体,文字简练通顺,誊写清楚整洁。 实验报告内容与格式 (一)实验名称 要用最简练的语言反映实验的内容。如验证某程序、定律、算法,可写成“验证XXX” ;分析XXX。 (二)所属课程名称 (三)学生姓名、学号、及合作者 (四)实验日期和地点(年、月、日) (五)实验目的 目的要明确,在理论上验证定理、公式、算法,并使实验者获得深刻和系统的理解,在实践上,掌握使用实验设备的技能技巧和程序的调试方法。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 (六)实验内容 这是实验报告极其重要的内容。要抓住重点,可以从理论和实践两个方面考虑。这部分要写明依据何种原理、定律算法、或操作方法进行实验。详细理论计算过程? (七)实验环境 实验用的软硬件环境(配置)。 (八)实验步骤 只写主要操作步骤,不要照抄实习指导,要简明扼要。还应该画出实验流程图(实验装置的结构示意图),再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。

(九)实验结果 实验现象的描述,实验数据的处理等。原始资料应附在本次实验主要操作者的实验报告上,同组的合作者要复制原始资料。 对于实验结果的表述,一般有三种方法: 1.文字叙述:根据实验目的将原始资料系统化、条理化,用准确的专业术语客观地描述实验现象和结果,要有时间顺序以及各项指标在时间上的关系。 2.图表:用表格或坐标图的方式使实验结果突出、清晰,便于相互比较, 尤其适合于分组较多,且各组观察指标一致的实验,使组间异同一目了然。每一图表应有表目和计量单位,应说明一定的中心问题。 3.曲线图应用记录仪器描记出的曲线图,这些指标的变化趋势形象生动、直观明了。 在实验报告中,可任选其中一种或几种方法并用,以获得最佳效果。 (十)讨论 根据相关的理论知识对所得到的实验结果进行解释和分析。如果所得到的实验结果和预期的结果一致,那么它可以验证什么理论?实验结果有什么意义?说明了什么问题?这些是实验报告应该讨论的。但是,不能用已知的理论或生活经验硬套在实验结果上;更不能由于所得到的实验结果与预期的结果或理论不符而随意取舍甚至修改实验结果,这时应该分析其异常的可能原因。如果本次实验失败了,应找出失败的原因及以后实验应注意的事项。不要简单地复述课本上的 理论而缺乏自己主动思考的内容。 另外,也可以写一些本次实验的心得以及提出一些问题或建议等。 (十-)结论 结论不是具体实验结果的再次罗列,也不是对今后研究的展望,而是针对这一实验所能验证的概念、原则或理论的简明总结,是从实验结果中归纳出的一般性、概括性的判断,要简练、准确、严谨、客观。 (十二)鸣谢(可略) 在实验中受到他人的帮助,在报告中以简单语言感谢. (十三)参考资料 详细列举在实验中所用到的参考资料. 格式: 作者年代书名及页数出版社

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

声音处理实验报告

沈阳师范大学 现代教育技术实验报告 实验题目音频资源的处理 学号姓名张慧专业英语年级10级 指导教师薛峰提交时间2013-04-03 一、实验目的 1. 掌握声音文件的基本剪辑方法 2.掌握录音的方法 3. 掌握调整音量的方法 4.掌握降噪的方法 5.掌握混音的方法 二、实验内容及要求 1、打开“音频实践课”文件夹中的“剪辑.mp3”文件,将声音的57秒-1分15秒内的波形复制到一个新的文件中,然后,将新文件的音量降低3分贝,最后给声音的开头和结尾分别作淡入和淡出的操作,最后保存声音,文件名为“基本剪辑.mp3”(要求写出处理的步骤并且提供相应的操作截图) 2、将“音频实践课”文件夹中的“伊利优酸乳-极限自行车篇15秒.wmv”视频文件中的声音录制出来,保存为mp3格式,文件名为“录音.mp3”(要求写出处理的步骤并且提供相应的操作截图) 3、将“音频实践课”文件夹中的“噪音.mp3”文件中噪音去掉,然后直接保存(要求写出处理的步骤并且提供相应的操作截图) 4、使用多轨界面将“音频实践课”文件夹中的“背影.wav”和“春风.wav”混缩为一段配乐得朗诵,注意:背景音乐长度和音量要适当。最后将文件混缩另存为“配乐朗诵.mp3”(要求写出处理的步骤并且提供相应的操作截图) 三、实验过程和具体步骤 第一题 1.启动audition,文件--打开文件“剪辑.mp3”,单击确定。 2.再新建一个音频“未命名”,单击确定。 3.在选择中输入开始和结束的时间,再单击选择框。在选中的区域单击右键复制 4.打开未命名,在音频栏中单击右键,粘贴。 5.在选中的区域中的音量调节钮向下拖拽,调小3分贝 6.在开始和结尾选择淡入淡出选项,做淡入淡出处理 7.将声音保存为“音频剪辑.MP3”。 第二题 打开音量控制面板,选择“选项-属性”菜单,选择录音,勾选Stereo Mix选项,然后单击确定。调整完成后,转为录音控制菜单,勾选Stereo Mix选项,然后将其最小化。打开audition软件,创建一个新波形,按下“录音”按钮,然后打开“伊利优酸乳-极限自行车篇15秒.wmv”进行播放,此时则开始录制视频中声音,产生波形,单击“文件-另存为”弹

实验报告格式参考模板

实验报告格式参考模板 实验名称:粉体真密度的测定 粉体真密度是粉体质量与其真体积之比值,其真体积不包括存在于粉体颗粒内部的封闭空洞。所以,测定粉体的真密度必须采用无孔材料。根据测定介质的不同,粉体真密度的主要测定方法可分为气体容积法和浸液法。 气体容积法是以气体取代液体测定试样所排出的体积。此法排除了浸液法对试样溶解的可能性,具有不损坏试样的优点。但测定时易受温度的影响,还需注意漏气问题。气体容积法又分为定容积法与不定容积法。 浸液法是将粉末浸入在易润湿颗粒表面的浸液中,测定其所排除液体的体积。此法必须真空脱气以完全排除气泡。真空脱气操作可采用加热(煮沸)法和减压法,或两法同时并用。浸液法主要有比重瓶法和悬吊法。其中,比重瓶法具有仪器简单、操作方便、结果可靠等优点,已成为目前应用较多的测定真密度的方法之一。因此,本实验采用比重瓶法。 一.实验目的 1. 了解粉体真密度的概念及其在科研与生产中的作用; 2. 掌握浸液法—比重瓶法测定粉末真密度的原理及方法; 3.通过实验方案设计,提高分析问题和解决问题的能力。 二.实验原理

比重瓶法测定粉体真密度基于“阿基米德原理”。将待测粉末浸入对其润湿而不溶解的浸液中,抽真空除气泡,求出粉末试样从已知容量的容器中排出已知密度的液体,就可计算所测粉末的真密度。真密度ρ计算式为: 式中:m0——比重瓶的质重,g; ms—— (比重瓶+粉体)的质重,g; msl—— (比重瓶+液体)的质重,g;ρl——测定温度下浸液密度;g/cm3;ρ——粉体的真密度,g/cm3; 三.实验器材: 实验仪器:真空干燥器,比重瓶;分析天平;烧杯。实验原料:金刚砂。 四.实验过程 1. 将比重瓶洗净编号,放入烘箱中于110℃下烘干冷却备用。 2. 用电子天平称量每个比重瓶的质量m0。 3. 每次测定所需试样的题记约占比重瓶容量的1/3,所以应预先用四分法缩分待测试样。 4. 取300ml的浸液倒入烧杯中,再将烧杯放进真空干燥器内预先脱气。浸液的密度可以查表得知。 5. 在已干燥的比重瓶里的物体受到向上的浮力作用,浮力的大小等于被该物体排开的液体的重力。 七.实验心得 以往的实验都是比着实验书本操作,而本次实验实验方案则是自己自主完成的,毫无经 12(4

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

实验四 数字音频处理实验报告

云南大学软件学院 实验报告 序号:姓名:学号:指导教师:刘春花,刘宇成绩: 实验四数字音频处理 一、实验目的 1、熟悉并掌握MATLAB工具的使用; 2、实现音频文件的生成、读取、播放和转换的基本操作。 二、实验环境 MATLAB 6.5以上版本、WIN XP或WIN2000计算机 三、实验内容 1、用matlab 产生音乐。在matlab命令窗口执行下列命令,并回答问题 cf = 220; sf = 22050; d = 0.5; n = sf * d; t = (1:n)/sf; s0 = sin(2*pi*cf*t); sound(s0, sf); 1)信号的频率是多少? 采样频率是多少?采样间隔是多少?一共有

多少个采样点?声音有多少秒? 频率:220 采样频率:22050 采样间隔: (1:n)/sf采样点: sin(2*pi*cf*t) 时长:0.5s 2)请解释sound(s, sf)函数的参数和实现的功能。如果把 sound(s0,sf)改为sound(s0,2*sf)听起来会有什么不同,为什么?时间更短,因为频率发生改变,变成了原来的2倍 3)执行sound1.m,听一听,能否在此程序基础上做修改,实现一小段音乐旋律,时间不少于10秒。并保存为为wav文件。 文件。获取相应参数,填空wav )读取1、2. 执行语句: [B, fs, nbits]=wavread('C:\TEMP\hootie.wav'); % loads the clip size(B); % the size of B sound(B,fs) % plays the sound. 采样频率:44100

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

相关主题
文本预览
相关文档 最新文档