当前位置:文档之家› 计算机组成原理模型机设计与实现

计算机组成原理模型机设计与实现

计算机组成原理模型机设计与实现
计算机组成原理模型机设计与实现

学号:

武汉华夏理工学院

计程设课计算机组成原理课程名称

模型机设计与实现目题

专业

班级

名姓

成绩

华田指导教师小

日27 12 2016 年月

1

武汉华夏理工学院信息工程系

课程设计任务书

课程名称:计算机组成原理指导教师:田小华

班级名称:开课教研室:软件与信息安全

一、课程设计目的与任务

理解计算机系统各个功能部件的功能、结构和工作原理,正确理解各功能部件之间的相互关系及其在计算机系统中所起的作用;掌握计算机系统各个功能部件的设计和分析技术,包括数据与指令的编码、存储器、运算器、输入输出接口等。

在此基础上,使学生通过理论与实践的结合,利用基本模型计算机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握中央处理器的基本结构和控制流程,掌握机器指令执行的基本过程,熟悉微程序控制器的基本结构和微程序设计技术的主要技巧,理解一条机器指令与一段微程序的关系,明确高级语言指令与微指令的对应关系,充分理解控制信息流利用数据通路完成对数据流的加工处理的过程。

通过课程设计,使学生将所学专业知识综合运用,在实践活动中积累经验,增长才干,训练学生独立工作能力,激发学生的学习热情,培养学生的自主创新精神,养成务实严谨的工作作风。

二、课程设计的内容与基本要求

1.按给定的数据格式、机器指令格式和微指令格式,利用基本的数字逻辑器件,设计—台微程序控制的模型计算机。

2.设计五条机器指令:IN,ADD,STA,OUT,JMP,并用微指令编写微程序,实现每条机器指令的功能。

3.在TD-CMA教学实验平台上实现基本模型机方案:

⑴建立数据通路,定义开关SWA及SWB的功能;⑵确定微程序控制流程,掌握控制台操作;

⑶输入编写的五条机器指令的微程序序列;⑷输入实验机器指令程序序列;⑸设计基本模型的物理连线;⑹完成微程序的调试,实现实验机器指令程序的功能。

完成模型机调试任务后,整理课程设计资料,撰写课程设计报告。课程设计报告内容包括:

①模型机数据通路图;②微程序控制器逻辑模块图;③微程序控制流程图;④元件排列图;⑥

调试小结。⑤设计说明书;三、课程设计步骤及时间进度和场地安排

《计算机组成原理》课程设计将安排在第17周, 地点在信息系实验楼523教室。具体安排如下:1.第17周周1(1节)(12月26日) :集中讲解课程设计原理与方法,3-203教室

2.第17周周1(2--4节):完成模型机的实验线路连接

2

3.第17周周2:调试模型机,记录实验结果,撰写课程设计报告

4.第17周周5:检查课程设计报告,打印提交课程设计报告

软件工程1151班时间安排:星期星期星期

周1-1-11-

实验523实验523实验523

四、课程设计考核及评分标准独立完成课程设计考核将综合考虑学生考勤和参与度,课程设计方案正确性和实验结果的正确性,实验环节的情况,以及课程设计报告书的质量。具体评分标准如下:

评分项序分101学习态度认真、遵守纪10设计分析合理2

20 设计方案正确性、可行性3

30 4 设计结果正确性

10 5 设计报告的规范性

10 实践环节的独立性与主动性6

10 7 设计验收

等级/总得分

注:最终成绩以五级分制记。优(90-100分)、良(80-89分)、中(70-79分)、

分以下为不及格60及格(60-69分)、

3

目录

第一章课程设计 (1)

.............................................................................................1 课程设计题目1.1.............................................................................................1 课程设计目的1.2 (1)

实验设备1.3第二章概要设计 (1)

............................................................................................1 课程设计原理2.1 .............................................................................................2 数据通路框图2.2...............................................................................................2 微指令格式2.3 ............................................................................................3 微程序流程图2.4 ...................................................................................4 微指令二进制代码表2.5.. (5)

实验步骤2.6

第三章实验过程屏幕截

图........................................................... . (7)

........................................................................................7 调试部分截图3.1.........................................................................................8 3.2调试

整体图 (9)

运行结果3.3第四章设计总结 (9)

...............................................9 ) (十六进制格式4.1给出每条机器指令的微程序..............................................................................................10 设计体

会4.2 (12)

. 附表答辩和评语表

第一章需求分析

课程设计题目1.1基本模型机设计与实现

课程设计目的1.2在掌握计算机系统组成及内部工作机制、理解计算机各功能部件工

作原理的基础上,深入掌握信息流和控制信息流的流动过程,进一步加深计算机系统各模块间相

互关系的认识和整机的概念,培养开发和调试计算机的技能。

1.3实验设备

TDN-CM组成原理实验系统,排线若干,微机

概要设计第二章2.1 课程设计原理

采用五条机器指令:IN,ADD,STA,OUT,JMP

地址(二进制)内容(二进制)助记符说明

0000 0000 0000 0000 IN R0 “INPUT DEVICE(班号)”→R0 0000 0001 0001 0000 ADD [0AH],R0 R0+[0AH] →R0

0000 0010 0000 1010

0000 0011 0010 0000 STA R0,[0BH] R0→[0BH]

0000 0100 0000 1011

0000 0101 0011 0000 OUT [0BH] [0BH] →LED

0000 0110 0000 1011

1

0000 0111 0100 0000 JMP 00H 00H→ PC

0000 1000 0000 0000

0000 1001

0000 1010 0001 1111 学号为31号同学

0000 1011 求和结果(班号加学号)

2.2数据通路框图

简单的模型计算机是由运算器、控制器、存储器、总线、输入输出和时序产生器组成。在模型机中,我们将要实现RAM的读写指令,寄存器的读写指令,跳转指令,ALU的加、减、与、或指令。把通用寄存器作为累加器A,进行左、右移等指令,整体构成一个单累加器多寄存器的系统。如图1所示:

图1数据通路框

2.3 微指令格式

当全部微程序设计完毕后,应将每条微指令代码化,如图2所示:

2

2 微指令格式图

微程序流程图2.4

3所示:微程序流程图如图

3

微程序流程3 图

微指令二进制代码表2.5

微指令二进制代码表表1

八进制格式进二制

微地址 B C μA~μA A M CSSSSWEAA 01 80n53 92

0 1 0 0 0 0 000 0 0 0 0 0 0 0 1 1 000 00Q 100 0 0 0 0 1 0 110 0 0 0 0 0 0 0 1 1 110 01Q 110 0 0 1 0 0 0 100 0 0 0 0 0 0 0 0 1 000 02Q 001 0 0 0 1 0 0 000 0 0 0 0 0 0 0 0 1 110 03Q 000 0 0 0 1 0 1 011 0 0 0 0 0 0 0 0 1 000 04Q 000 0 0 0 1 1 0 000 0 0 0 0 0 0 0 1 1 010 05Q 001 0 0 0 0 0 1 001 1 0 0 1 0 1 0 1 1 101 06Q 000 0 0 1 1 0 1 0 0 0 0 0 0 0 0 1 110 07Q 000 000 0 0 0 0 0 1 0 0 0 0 0 0 0 0 0 10Q 001 000 000 0 0 0 0 1 1 110 110 11Q 110 0 0 0 0 0 0 0 1 1 0 0 0 1 1 1 110 12Q 110 0 0 0 0 0 0 0 1 1 110 0 0 1 1 1 0

13Q

110

0 0 0 0 0 0 0 1 1

110

110

4

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/c08399298.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

摄像机的相关参数分析

摄像机的相关参数分析 1.分辨率: D1/CIF/QCIF(NTSC/PAL),VGA/QVGA 分辨率(resolution)就是屏幕图像的精密度,是指显示器所能显示的点数的多少。由于屏幕上的点、线和面都是由点组成的,显示器可显示的点数越多,画面就越精细,同样的屏幕区域内能显示的信息也越多,所以分辨率是个非常重要的性能指标之一。可以把整个图像想象成是一个大型的棋盘,而分辨率的表示方式就是所有经线和纬线交叉点的数目。 2.值感应模式 是用通过影像亮点代替整个影像的平均值来决定曝光指数,使用规则系统的用户能应对最苛刻的要求,如在黑夜抓取一个白点的影像,而且还要看到这个小亮白点的细节和色彩。 3.超级HAD图像传感器内置应用"SuperHoleAccumulationDiode(HAD)"电子画质提升技术的CCD影像感应器,提高CCD的感应性能及加强数码信号处理功能,有效地于拍摄影像时降噪及减低不必要的干扰,令画面更清晰明丽,色彩层次更分明,对现场光源不足或拍摄夜景时效果尤其显着。 4.像素(Pixel)是由 Picture(图像) 和 Element(元素)这两个单词的字母所组成的,是用来计算数码影像的一种单位,像素越大,,图像越清晰。像素是衡量摄像头的一个重要指标之一,一些产品都会在包装盒标着30万像素或35万像素。一般来说,像素较高的产品其图像的品质越好。但另一方面也并不是像素越高越好,对于同一个画面,像素越高的产品它的解析图像的能力越强,为了获得高分辨率的图像或画面,它记录的数据量也必然大得多,对于存储设备的要求也就高得多,因而在选择时应注意相关的存储设备。 5.信噪比:(>52db或是>48db),即SNR(Signal to Noise Ratio)又称为讯噪比,即放大器的输出信号的电压与同时输出的噪声电压的比,常常用分贝数表示。设备的信噪比越高表明它产生的杂音越少。一般来说,信噪比越大,说明混在信号里的噪声越小,声音回放的音质量越高,否则相反。信噪比一般不应该低于70dB,高保真音箱的信噪比应达到110dB 以上. 6.伽玛校正: 所谓枷马校正就是检出图象信号中的深色部分和浅色部分,并使两者比例增大,从而提高图象对比度效果。 7.信号制式(PAL/NTSC)

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师:________________________________ 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书 姓名:院(系):信息技术学院 专业:计算机科学与技术学号:课程设计题目:基本模型机设计与设计课程设计要求: 本课程设计以ZYE1603E计算机组成原理及系统结构教学实验系统为平台,设计完成。 1. 按给定的数据格式和指令系统,设计一个微程序控制器。 2. 设计给定机器指令系统以及微程序流程图,按微指令格式写出微程序的为指令代码。 3. 连接逻辑电路完成启动、测试、编程、测试、效验和运行,并观测运行过程及结果。 4. 将微程序控制器模块与运算器模块,存储器模块联机,组成一台模型计算机。 5. 用微程序控制器控制模型机的数据通路。 6. 通过在模型机上运行由机器指令组成的简单程序,掌握机器指令与微指令的关系, 建立计算机整机的概念,掌握计算机的控制机制。 7. 按指定的应用项目进行汇编指令格式及功能设计,并设计相应的机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序。在PC机上编辑机器指令和微程序, 装载代码到ZYE1603B实验系统并运行,实现应用要求。 工作计划及安排:

2017年6月19日上午:集中进行课程设计题目的讲解和分解,提出学生需要掌握的硬件和软件方面的知识和要求;以及在课程设计期间的安全和纪律要求,熟悉开发环境 (ZYE1603B (4401 机房)。 2017年6月19日下午:查阅资料、确定题目,了解各人(小组)设计安排,就设计过程进行集中讲解,解决设计过程存在的问题。 2017年6月20日~6月22日:在机房进行系统的设计。 2017年6月23日上午:分组讨论,进行交流,了解学生的掌握情况,对本周的学习情况进行总结,对后续的设计提出要求;对存在的问题进行分析和解决。(机房)。 2017年6月23日下午:答辩,成绩评定。 指导教师签字_________________ 2017年6月19日

监控镜头基本常识分析解析

CCTV LENS 基本常识 一:镜头的焦距 镜头的焦距以毫米为计量单位,它与镜头所获得的观察视角互为因果,小焦距镜头具有大的观察视角,而长焦距镜 头具有望远效果,并具有窄小的观察视角.通常把和人眼的观察视角相似的镜头称作普通标准镜头 . 二:摄像机规格 摄像机映像传感器(CCD)的规格大小也影响着观察视角,在使用相同的条件下,CCD 越小所获取的视角越小。对镜头的规格参数提出的要求是其所成图像能将映像传感器(CCD)全部覆盖, 例如:使用和摄像机同一规格的镜头或比摄像机规格大的镜头。这也意味着1/3”规格的摄像机可以使用1/3”~1”整个范围内的镜头,该摄像机配接1/3” f=8mm的镜头所得到的观察视角是一样的。只是由于使用后一种镜头时由于更多地利用了成型更精确镜头中心光路,所以可提供较好的图像质量和较高分辨率。

1.镜头和摄像机的配合 单CCD摄像机3CCD摄像机 1" 2/3" 1/2" 1/3" 1/4" 1/2" 1/3" 1"镜头OK OK OK X X X X 2/3"镜头X OK OK OK X X X 1/2"镜头X X OK OK OK X X 1/3"镜头X X X OK OK X X 3CCD用1/2"镜头X X X X X OK X 3CCD用1/3"镜头X X X X X X OK 三:光圈值 镜头通常以镜头口径值进行计量,当镜头完全打开时定义为最大光圈(最小光圈数值),把镜头的有效口径调至最小时(不完全关闭时)的数值定义为最小光圈(最大光圈数值)。光圈值对最终图像有着诸多影响,光圈数值小,意味着该镜头在黑暗的环境下能通过更多的

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

摄像头参数测试指导分析解析

摄像头测试指导手册 一、测试环境及测试条件 1、暗室:不能反光、透光、关灯后照度低于1Lx,墙面用18度灰的灰布。如无特殊 规定,为保证摄像设备拍摄测试图卡时能够输出足够的信号,拍摄时测试图卡表面照度范围应在700Lx~1200Lx之间,测试时饱和度和均匀度可根据实际调节,正常测试使用D65光源,光强度不足需使用相同光源补光。 2、在D65光源色温下,测试图卡上任何一点的照度与测试图卡中心照度差不大于10%; 在其他色温下,测试图卡上任何一点的照度与测试图卡中心照度差不大于30%,光源应采取必要的遮光措施,防止光源直射镜头。测试图卡周围应是低照度,以减少炫光,测试时应尽量避免外界光线照射。测试图卡背景采用黑或吸光型中性灰。 3、测试中可使下列标准色温:D65光源色温6500K、泛光灯色温3400K。实际测试环 境的色温标准偏差应不大于200K,色温从2700k-7500k 可调换。 4、温度20±2℃,相对湿度50±20%。 5、测试距离可根据实际任意调整。摄像头与图卡距离建议为80-130cm,实际测试中 若超过以上范围需要标注。 6、图表放臵:放臵图表时使之与相机的焦点面平行,并且使得横向看时,水平方向 的粗框与画面水平框平行。根据iso12233的规定,拍摄时让图表的有效高度正好占满画面。实际上完全按照该要求拍摄有一定难度,因此也可拍摄的稍小。此时,将乘以“整个画面的垂直像素/画面中图表的每有效高度的像素数”进行标定。 7、相机条件设定的原则:根据本标准测量分辨率时,相机参数原则上采用出厂时的 设定。采用出厂设定以外的设定进行测量时必须注明所采用的设定。若存在根据出厂时的设定无法确定的参数时,厂商将按照该相机的用户最可能使用的设定进行测量,并注明可确定该设定的信息。曝光条件、对焦、变焦位臵没有特别规定;相机的白平衡必须相对照明光源进行适当调节。 8、测试图卡照明方法图示:

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

解析监控摄像机常用的三大技术特点

解析监控摄像机常用的三大技术特点 目前民用监控产品中使用的通常有这样几种技术:高灵敏度材料、数字慢快门技术、彩转黑技术、被动红外成像技术等等,因为监控要求和应用场合的不同,所以在实际应用中就出现了不同的应用市场。 高灵敏度材料 使用高灵敏度材料,包括使用高灵敏度光感应材料,超高信噪比信号分析处理器件,信号处理增加一些特殊处理技术等等,以此来提高对光线较低情况下图像采集的还原效果,但是由于成本及考虑体积等方面的原因,这个虽然是最好的方案却仍然很难在短时间内被广泛应用。 目前针对CCD感光元件而言,提高感光度主要通过两种方法。由于通过物理方式增大感光面积,受设备体积限制无法实现,因此其一是在元件每一感光二极管上(单一像素)装置微小镜片变相增大感光面积的方式来获得感光度的提升,变得行之有效。这个设计就像是帮CCD挂上眼镜一样,但是CCD经过35年的发展,这种技术提升的空间也已相当有限。其二,就是通过特定的信号增强电路,根据数据运算来获得合理的曝光,但这样通常会因为CCD快速感光引起像素感光不均而形成噪点,这时拍摄出的画面颗粒感会较为明显。此时又不得不采取办法来平衡高感光度和高画质之间的矛盾,从而势必又带来更高的成本投入。 数字慢快门技术

数字慢快门技术(digitalslowshuttle),实际上它并不是一种快门,只是它的功能在某种程度上类似于快门而已,快门(shuttle)和光圈(IRIS)都是摄像机上控制光线通过镜头,达到光捕捉效果的一个部件。也可以这样理解,光圈是光线通过镜头时能够进入的一个孔,孔的大小就是光圈的大小,孔越大,相同情况下通过的光就越多,而快门是掌握光圈开关的部分,控制光圈是处于一直开启状态还是按照一定时间间隔定时开关。 我们知道,根据人眼的视觉暂留特性,为了确保看到的图像是连续的,PAL制电视信号的标准是 25帧/秒隔行扫描,就是说,每一秒种经过我们眼前的图像实际是由25个画面构成的连续画面,在拍摄目标的时候,每隔1/25秒,一个点才能够被扫描到一次,因为是隔行扫描,每2个场才能构成一个帧,所以每1秒钟,PAL制的图像是50场,1场的时间就是快门的间隔,每一秒钟,快门必须要工作50次,才能确保输出的图像是50场/秒的PAL制图像,所以PAL制的最低快门速度是1/50秒(此时光圈实际上是一直打开的),实际应用中,因为环境中光线可能会很强,这个时候可能会需要控制进光量,就需要控制快门速度,速度越快时,光线能够进入的时间就越少,进光量就越少,相对来说,图像就会显得比较暗,反之快门速度越慢,图像就会越亮,当光线照度不足时,即使使用1/50秒图像仍然不够亮,这就需要运用其他技术了。 根据光学理论,光是可以叠加的,虽然在很暗的环境下每个

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

计算机组成原理模型机设计与实现

武汉华夏理工学院 课程设计 课程名称计算机组成原理 题目模型机设计与实现 专业 班级 姓名 成绩 指导教师田小华 2016 年12 月27 日

武汉华夏理工学院信息工程系 课程设计任务书 课程名称:计算机组成原理指导教师:田小华 班级名称:开课教研室:软件与信息安全 一、课程设计目的与任务 理解计算机系统各个功能部件的功能、结构和工作原理,正确理解各功能部件之间的相互关系及其在计算机系统中所起的作用;掌握计算机系统各个功能部件的设计和分析技术,包括数据与指令的编码、存储器、运算器、输入输出接口等。 在此基础上,使学生通过理论与实践的结合,利用基本模型计算机的构建与调试实验,完整地建立计算机硬件的整机模型,掌握中央处理器的基本结构和控制流程,掌握机器指令执行的基本过程,熟悉微程序控制器的基本结构和微程序设计技术的主要技巧,理解一条机器指令与一段微程序的关系,明确高级语言指令与微指令的对应关系,充分理解控制信息流利用数据通路完成对数据流的加工处理的过程。 通过课程设计,使学生将所学专业知识综合运用,在实践活动中积累经验,增长才干,训练学生独立工作能力,激发学生的学习热情,培养学生的自主创新精神,养成务实严谨的工作作风。 二、课程设计的内容与基本要求 1.按给定的数据格式、机器指令格式和微指令格式,利用基本的数字逻辑器件,设计—台微程序控制的模型计算机。 2.设计五条机器指令:IN,ADD,STA,OUT,JMP,并用微指令编写微程序,实现每条机器指令的功能。 3.在TD-CMA教学实验平台上实现基本模型机方案: ⑴建立数据通路,定义开关SWA及SWB的功能;⑵确定微程序控制流程,掌握控制台操作;⑶输入编写的五条机器指令的微程序序列;⑷输入实验机器指令程序序列;⑸设计基本模型的物理连线;⑹完成微程序的调试,实现实验机器指令程序的功能。 完成模型机调试任务后,整理课程设计资料,撰写课程设计报告。课程设计报告内容包括: ①模型机数据通路图;②微程序控制器逻辑模块图;③微程序控制流程图;④元件排列图; ⑤设计说明书;⑥调试小结。 三、课程设计步骤及时间进度和场地安排 《计算机组成原理》课程设计将安排在第17周, 地点在信息系实验楼523教室。具体安排如下:1.第17周周1(1节)(12月26日) :集中讲解课程设计原理与方法,3-203教室 2.第17周周1(2--4节):完成模型机的实验线路连接

摄像复习资料分析解析

固定加运动,推拉摇移跟,稳平准匀清,远全中近特,正侧逆,平俯仰,白加黑,看色温,三角形,主辅轮。 复习范围:林渊渊提供 详细内容:文聪 1摄像机的基本技术操作(聚焦、变焦、光圈等)。 P36-P40、P80-81 Focal length 焦距:指镜头设在无限远时,从光圈焦点瞄准的对象之间的距离。常以毫米或英寸来测量。 Zoom range 变焦幅度:也叫变焦比率(zoom ratio),指运用变焦镜头从最远的广角位推到 最近的长焦能得到的景象。幅度通常用比率来表示;假如是20:1, 叫“变焦比例”。 Zoom lens 变焦镜头:焦距可以变化的镜头,是所有摄像机的必备设备。 F 光圈:在镜头内用来控制光线透过镜头,进入机身内感光面的光量的装置 F-stop光圈值:判断镜头能进多少光的标准,例如光环上所刻有的数值: 1.7、 2.8、4、5.6、8、11、16 光圈值数字越小,光圈孔径越大,进入的光越多,大口径镜头的光圈最小。 光圈值数字越大,光圈孔径越小,进入的光越少,大口径镜头的光圈最大。 聚焦:聚焦就是旋转聚焦环,使被摄物的影像清晰(通过改变成像面的位置,使之与聚焦点重合) 变焦:调解焦距,使不必改变拍摄距离而变化景别 摄像机的自动对焦方法:把摄像机镜头对准被摄物,片刻,摄像机就能聚焦清晰 2固定画面和运动镜头的概念 固定画面:(固定镜头)摄像机的机身、机位、镜头焦距,在拍摄中均不发生变化。 画面带来的效果: 1.稳定的视觉感受 2.提供客观的参照物 3.观众视线可以在画面上随意浏览 4.镜头可塑性大,容易形成稳定的视觉节奏感 运动镜头:推、拉、摇、移、跟、甩、升、降 (运动画面)摄像机的机身、机位、镜头焦距,在拍摄中发生变化的镜头。 画面带来的效果:增强画面动感,扩大镜头视野,影响着响应的速度和节奏,赋予画面独特的感情色彩。

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

相关主题
文本预览
相关文档 最新文档