当前位置:文档之家› 时序逻辑电路

时序逻辑电路

时序逻辑电路
时序逻辑电路

第十六单元时序逻辑电路

(8学时——第49~56学时)

主要内容:时序逻辑电路的分析与设计

教学重点:时序逻辑电路的分析与设计方法

教学难点:时序逻辑电路的设计

教学方法:启发式教学、探究式教学

教学手段:实验、理论、实际应用相结合

第一部分知识点

一、时序电路概述

时序电路的状态及输出是与时间顺序有关的,由组合电路和存储电路(多为触发器)组成,1、特点

任意时刻的输出,不仅与该时刻的输入有关、还与电路原来的状态有关。

2、分类

按逻辑功能分为计数器、寄存器等,按触发器工作分为同步电路和异步电路,按电路输出信号特性分为Mealy型(输出与输入及电路现态有关)和Moore型(输出仅与电路现态有关)电路。

二、时序电路的分析

1、分析步骤

(1)写出电路的时钟方程(各触发器的CP表达式)、输出方程(各输出端表达式)及驱动方程(各触发器的触发信号表达式)。

(2)求出电路的状态方程(各触发器的状态表达式)

(3)计算得出电路工作状态表

(4)画状态图及时序图

(5)分析电路功能

2、分析举例

分析时序电路

(1)时钟方程CP 0=CP 1=CP 2=CP

输出方程n n n Q Q Q Y

01

2=

驱动方程n Q J 20=、n Q K 20=, n Q J 01=、n Q K 01=, n Q J 12=、n Q K 12=

(2)状态方程

将J 、K 代入JK 触发器特征方程n n n Q K Q J Q

+=+1

得各触发器状态方程:

n

n Q Q 210=+ 、

n n Q Q 011=+ 、 n n Q Q 112=+

(3)计算得到状态表

现 态

次 态

输 出 n Q 2 n Q 1 n Q 0

12|n Q + 11+n Q 10+n Q

Y 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

0 0 1 0 1 1 1 0 1 1 1 1 0 0 0 0 1 0 1 0 0 1 1 0

1 1 1 1 0 1 1 1

(4)画状态图及时序图

(5)逻辑功能

这是一个有六个工作状态的同步工作电路,属Moore 型电路。 (6)有效态和无效态

有效态:被利用的状态;

有效循环:由效态形成的循环(如上图中的循环a);

无效态:未被利用的状态;

无效循环:无效态形成的循环(如上图中的b循环);

能自启动:虽存在无效态,但它们未形成循环,能够回到有效状态;

不能自启动:无效态之间形成无效循环,无法回到有效状态。

本电路存在无效循环,电路不能自启动。

三、时序电路的设计

1、设计的一般步骤

(1)根据给定条件要求,确定逻辑变量、状态数目,建立原始状态图;

(2)合并等价状态(输入相同时、输出相同且转换的状态也相同的状态叫等价状态),得最简状态图;

(3)用最少位数的二进制码表示状态,得到编码后的状态图;

(4)选择触发器,求时钟方程、输出方程(一般利用卡诺图)、状态方程(一般用卡诺图);(5)变换状态方程,使之与所选择触发器的特征方程一致,得到驱动方程;

(6)作逻辑电路图

(7)将无效态带入状态方程,检查电路能否自启动,若不能自启动,应从新设计或利用触发器的预置端强行将无效态预置到有效态。

2、设计举例

例1:设计一串行数据检测电路。要求:连续输入3个或3个以上1时输出为1,否则为0。(1)根据给定条件要求,确定逻辑变量、建立原始状态图

用X表示输入、Y表示输出,可用4个状态S0、S1、S2、S3表示电路不同状态,其中,S0表示初态,S1、S2、S3分别表示连续输入1个1、2个1、3个及3个以上1时电路的状态,得到原始状态图:

(2)合并等价状态,得最简状态图

显然S2、S3等价,合并后的状态图为:

(3)用最少位数的二进制码表示状态,得到编码后的状态图

三个状态可用两位二进制编码表示:分别用00、01、11来表示S 0、S 1、S 2 有了编码状态图,剩余问题便容易解决。 (4)选择触发器,求时钟方程、输出方程、状态方程

选用2个CP 上升沿触发(也可选择下降沿触发)的J 、K 触发器。 让二者同步工作(也可异步工作),则:CP 0=CP 1=CP 。 利用卡诺图得到输出方程:Y =XQ 1n

利用次态卡诺图得到状态方程:Q 1n +1=XQ 0n Q 0 n +1=X

(5)变换状态方程,使之与所选择触发器的特征方程一致,得到驱动方程

JK 触发器特征方程为

n n n Q K Q J Q +=+1

变换Q 1n +1、Q 0 n +1,使之与n n n Q K Q J Q +=+1

一致:

n

n

n

n

n

n

n

n

n

n

n

n n n Q Q X Q XQ Q XQ Q XQ Q XQ )Q Q (XQ Q 1

0101010101101

1

++=+=+=+(加上了约束项n

n

Q Q X 1

0——为了式子简单。不加也行)

n

1n

1n 01

n 1

XQ Q XQ Q +=+

n

0n

0n

0n

01

n 0

XQ Q X )Q Q X(Q +=+=+

比较得驱动方程:

J 1=XQ 0n

、K 1=X —

,J 0=X 、K 0=X —

(6)作逻辑电路图

(7)将无效态带入状态方程,检查电路能否自启动

将无效状态10代入输出方程Y =Q 1n Q 0n 和状态方程Q 1n +1=XQ 0n 、 Q 0 n +1=X ,得到:

电路能自启动。

设计完毕。

例2:设计一时序电路,实现下图所示的状态图:

由于已给出了二进制编码状态图,设计直接从第4步开始。 (1)选择触发器,求时钟方程、输出方程、状态方程

选用3个CP 上升沿触发(也可选择下降沿触发)的D 触发器。 让三者同步工作(也可异步工作),则:CP 0=CP 1= CP 2=CP 。 利用卡诺图得到输出方程:

n n Q Q P Y 01= n n n Q Q Q Y 012=

利用次态卡诺图得到状态方程:

n

n Q Q 010=+

n n n n n Q Q Q Q Q 010111+=+ n n n n n n n n Q Q Q P Q Q Q Q Q 012021212++=+

(2)变换状态方程,使之与所选择触发器的特征方程一致,得到驱动方程

D 触发器特征方程为

D Q n =+1

变换Q 2n +1、Q 1n +1、Q 0 n +1,使之与D Q n =+1

一致:

n n Q D Q 0010==+ n n n n n Q Q Q Q D Q 0101111+==+ n 0120212212Q Q Q P Q Q Q Q D Q n n n n n n n ++==+

则n Q D 00

= n n n n Q Q Q Q D 01011+= n n n n n n n Q Q Q P Q Q Q Q D 01202122++=

(3)作逻辑电路图

参见教材P262图5.1.20。

(4)将无效态带入状态方程,检查电路能否自启动

当P =0时,有100、101、110、111四个无效状态,分别带入输出方程及状态方程,得到:

电路能自启动。 设计完毕。

四、计数器

计数器是记录数据的电路,这种电路一般只有计数脉冲CP信号,很少有另外的输入信号,属Moore型时序电路,且电路主要组成单元是时钟触发器。

1、计数器分类

(1)按计数进制分

二进制计数器、十进制计数器、N进制计数器

(2)按计数递增、递减分

加法计数器、减法计数器、可逆计数器

(3)按计数模分

模2n计数器、模非2n计数器计数器

(4)按计数器工作情况分

同步计数器、异步计数器

(5)按计数器使用的开关元件分

TTL计数器、CMOS计数器

2、同步二进制计数器

(1)同步二进制加(法)计数器

以3位(模8、M=8)计数器为例进行设计。

①计数器方框图及状态图

②选择触发器,求时钟方程、输出方程、状态方程

选择3个后沿触发的JK触发器。

计数器同步工作,所以CP0= CP1= CP2= CP

由C的卡诺图得到输出方程:C=Q2n Q1n Q0n

由电路次态卡诺图得到触发器次态卡图,进而得到状态方程。

n

n Q Q 010=+

n n n n n Q Q Q Q Q 010111+=+ n n n n n n n n Q Q Q Q Q Q Q Q 012021212++=+

③求驱动方程

JK 触发器特征方程为

n n n Q K Q J Q +=+1

变换触发器状态方程,使之与JK 触发器特征方程一致:

n n n Q Q Q 001011+=+ n n n n n Q Q Q Q Q 1010

11+=+

n n n n n n n n n n n n n Q Q Q Q Q Q )Q Q Q (Q Q Q Q 21020121020112+=++=+

从而得到:J 0=K 0=1,J 1=K 1=Q 0n ,J 2=K 2= Q 1n Q 0n ④作逻辑电路图

根据进位信号连接不同,又一种接法:

二者的区别在于上者采用的是串行进位方式、产生进位的时间较长、采用两输入端与门、各触发器均匀带负载;而后者采用的是并行进位方式、产生进位的时间较短、采用多输入端与门、各触发器所带负载是不均匀的、越是低位带的负载越重。 ⑤同步二进制加计数器级间连接规律

n 位同步二进制加计数器采用的JK 触发器,但已连成了T 触发器,所以实际上是T 触发器构成的计数器,并且触发器FF i 的驱动方程为n j i j i Q T 1

-=∏=(i=1,2…n-1)

,而T 0= 1,其中∏是连乘符号。

(6)同步二进制加计数器时序图

(2)同步二进制减(法)计数器

以3位(模8、M=8)计数器为例设计。 ①计数器方框图及状态图

②选择触发器,求时钟方程、输出方程、状态方程

选择3个后沿触发的JK 触发器。 计数器同步工作,所以CP 0= CP 1= CP 2= CP

由B 的卡诺图得到输出方程:n n n

Q Q Q B 012

=

由电路次态卡诺图得到触发器次态卡图,进而得到状态方程。

n

n Q Q 010=+

n n n n n Q Q Q Q Q 010111+=+ n n n n n n n n Q Q Q Q Q Q Q Q 012021212++=+

③求驱动方程

JK 触发器特征方程为

n n n Q K Q J Q +=+1

变换触发器状态方程,使之与JK 触发器特征方程一致:

n n n Q Q Q 001011+=+ n n n n n Q Q Q Q Q 101011+=+

n n n n n n n n n n n n n Q Q Q Q Q Q )Q Q (Q Q Q Q Q 20120121020112+=++=+

从而得到

100==K J n Q K J 011== n n Q Q K J 0122==

④作逻辑电路图

采用串行借位方式:

采用并行借位方式:

实验3-1 时序逻辑电路设计

实验3 时序逻辑电路设计(1) 实验内容与步骤: 1.设计一个4路扭环计时器电路。 要求:计数器的状态每隔1S变换一次;利用LED1-LED4(低电平驱动)显示计数器。 实验步骤 1)新建工程文件夹; 2)启动Quartus II; 3)选择File->New Project Wizard,建立新工程; 4)要求:工程名与顶层实体名为johnson,器件选择“Cyclone”中的EP1C6Q240C8 5)File->New->Verilog HDL File建立Verilog设计文件; module johnson(clk,led); input clk;//输入时钟信号 output [3:0] led;//输出计数器计数状态,对应于开发板中的LED1-LED4,低电平点亮reg [3:0] led 6)选择Processing->Start->Start Analysis&Elaboration对源程序进行语法分析;6)选择Processing->Start->Start Analysis&Synthesis进行电路综合; 7)选择Tools->Netlist Viewers->RTL Viewer,查看综合后得到的电路; 8)选择Assignments->Pins进行器件引脚分配; 序号信号引脚编号 1 led[0](对应于开发板LED1) 50 2 led1[1](对应于开发板LED2) 53 3 led2[2](对应于开发板LED3) 54 4 led3[3](对应于开发板LED4) 55 5 clk(48MHZ时钟信号输入) 28 9)选择Assignments->Device,选择“Device and Pin Options”按钮,在打开的“Device and Pin Options”对话框中,选择“Unused Pins”选项卡,从中选择“As input tri-stated”选项。10)选择Processing->Start->Start Fitter进行器件适配; 11)选择Processing->Start->Start Assembler生成下载文件; 12)连接好实验箱中的跳线,并将实验箱与计算机相连,并打开实验箱电源; 13)选择Tools->Porgrammer选项,将设计文件下载到FPGA中,并观察实验结果。

时序逻辑电路练习题

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D触发器的D端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。20.单稳态触发器在外加触发信号作用下能够由状态翻转到状态。21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲宽度,则占空比应为_______。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

时序逻辑电路(

第六章时序逻辑电路 内容提要 【熟悉】触发器四种电路结构及动作特点,四种逻辑功能及其逻辑关系、逻辑符号,逻辑功能的四种描述方法 【掌握】时序电路的特点和一般分析方法 【熟悉】寄存器的功能、分类及使用方法, 双向移位寄存器的级联【掌握】计数器的功能和分类,级联法、置位法构成N进制计数器【掌握】555定时器构成三种电路的工作特点、连接方法及主要参数一.一.网上导学 二.二.典型例题 三.三.本章小结 四.四.习题答案 网上导学 §6.1时序逻辑电路的特点 时序逻辑电路的特点:任意时刻的输出不仅取决于该时刻的输入,而 且还和电路原来的状态有关,所以时序电路具有记 忆功能。 在第五章中,向大家介绍了组合电路。 组合电路的特点是其任意时刻的输出状态仅取决于该时刻的输入状态。 2.时序电路逻辑功能描述方法 在上面给出的时序电路结构框图中,包括组合逻辑电路和具有记忆功能的存储电路。 输出变量y1,y2,y3。。。。y b,合称输出矢量Y(t)。 输入变量x1,x2,x3。。。。x a,合称输入矢量X(t)。 同样,存储电路的输入、输出称之为矢量P(t)和矢量Q(t)

按照结构图,我们可以列出三组方程:设tn+1,tn分别为相邻的两个离散的时间瞬间。 矢量Y(tn)是X(tn),Q(tn)的函数,称输出方程。 矢量P(tn)是X(tn),Q(tn)的函数,称驱动方程。 矢量Q(tn+1)是P(tn),Q(tn)的函数,称状态方程。 本节问答题 1.1.什么叫组合逻辑电路? 2.2.什么叫时序逻辑电路? 3.3.它们在逻辑功能和电路结构上各有什么特点? 4.4.在时序电路中,时间量tn+1,tn各是怎样定义的?描述时序电路功能需要几个方程,它们各表示什么含义? §6.2触发器 在这一节中,向大家介绍一种最基本的存储电路触发器(flip-flop)。触发器具有以下基本特点: (1)具有两个稳定的(0和1)状态,能存储一位二进制信息; (2)根据不同的输入,可将输出置成0或1状态; (3)当输入信号消失后,被置成的状态能保存下来。 6.2.1 基本RS触发器 一.电路结构及逻辑符号 在本书第三章里,我们讲了各种门电路,若把两个反相器按照a 图的形式连接起来,可以看出,A点和B点信号是反相的,而A点和C点始终保持同一电平。这样,可以把A,C视为同一点(下面的b 图和c图)。在C图中,A,B两点始终反相,而且电路状态稳定,在没有外界干扰或者触发的状态下,电路能够保持稳定的输出。(这一

时序逻辑电路

时序逻辑电路 时序逻辑电路简称时序电路,与组合逻辑电路并驾齐驱,是数字电路两大重要分支之一。本章首先介绍时序逻辑电路的基本概念、特点及时序逻辑电路的一般分析方法。然后重点讨论典型时序逻辑部件计数器和寄存器的工作原理、逻辑功能、集成芯片及其使用方法及典型应用。最后简要介绍同步时序逻辑电路的设计方法。 1 时序逻辑电路的基本概念 一.时序逻辑电路的结构及特点 时序逻辑电路——电路任何一个时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关。 时序电路中必须含有具有记忆能力的存储器件。存储器件的种类很多,如触发器、延迟线、磁性器件等,但最常用的是触发器。 由触发器作存储器件的时序电路的基本结构框图如图6.1.1所示,一般来说,它由组和电路和触发器两部分组成。 二. 时序逻辑电路的分类 按照电路状态转换情况不同,时序电路分为同步时序电路和异步时序电路两大类。 按照电路中输出变量是否和输入变量直接相关,时序电路又分为米里(Mealy )型电路和莫尔(Moore )型电路。米里型电路的外部输出Z 既与触发器的状态Q n 有关,又与外部输入X 有关。而莫尔型电路的外部输出Z 仅与触发器的状态Q n 有关,而与外部输入X 无关。 6.2 时序逻辑电路的一般分析方法 1X i X Z 1Z j ê?è?D?o?D?o? ê?3? ·¢?÷ ′¥·¢?D?o? ê?3?D?o? í?6.1.1 ê±Dò???-μ??·?òí?

一. 分析时序逻辑电路的一般步骤 1.根据给定的时序电路图写出下列各逻辑方程式: (1)各触发器的时钟方程。 (2)时序电路的输出方程。 (3)各触发器的驱动方程。 2.将驱动方程代入相应触发器的特性方程,求得各触发器的次态方程,也就是时序逻辑电路的状态方程。 3.根据状态方程和输出方程,列出该时序电路的状态表,画出状态图或时序图。 4.根据电路的状态表或状态图说明给定时序逻辑电路的逻辑功能。 下面举例说明时序逻辑电路的具体分析方法。 二.同步时序逻辑电路的分析举例 例6.2.1:试分析图6.2.2所示的时序逻辑电路 图6.2.2 例6.2.1的逻辑电路图 解:由于图6.2.2为同步时序逻辑电路,图中的两个触发器都接至同一个时钟脉冲源CP ,所以各触发器的时钟方程可以不写。 (1)写出输出方程: n n Q Q X Z 01)(?⊕= (6.1.5) (2)写出驱动方程: n Q X J 10⊕= 10=K (6.1.6a ) n Q X J 01⊕= 11=K (6.1.6b ) (3)写出JK 触发器的特性方程n n n Q K Q J Q +=+1,然后将各驱动方程代入JK 触发器的特性方程,得各触发器的次态方程: n n n n n Q Q X Q K Q J Q 0100001 0)(⊕=+=+ (6.1.7a ) n n n n n Q Q X Q K Q J Q 10111111 )(?⊕=+=+ (6.1.7b ) (4)作状态转换表及状态图 由于输入控制信号X 可取1,也可取0,所以分两种情况列状态转换表和画状态图。 ①当X =0时。 将X =0代入输出方程(6.1.5)和触发器的次态方程(6.1.7),则输出方程简化为: n n Q Q Z 01=;触发器的次态方程简化为:n n n Q Q Q 011 =+ ,n n n Q Q Q 1011=+。 设电路的现态为0001=n n Q Q ,依次代入上述触发器的次态方程和输出方程中进行计算,得到电路的状态转换表如表6.2.1所示。 根据表6.2.1所示的状态转换表可得状态转换图如图6.2.3所示。 CP X Z

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

时序逻辑电路51时序逻辑电路的基本概念1时序逻辑电路

第5章时序逻辑电路 5.1 时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分组成。 图5.1 时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 (1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同步时序电路较复杂,其速度高于异步时序电路。 (2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出Z既与触发器的状态Q n有关,又与外部输入X有

关。而摩尔型电路的外部输出Z仅与触发器的状态Q n有关,而与外部输入X无关。 (3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且都是分析和设计时序电路的基本工具。 5.2 时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。(2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1 同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图5.10所示。 图5.10 同步时序电路的设计过程

时序逻辑电路题

《时序逻辑电路》练习题及答案 [5.1] 分析图P5.1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P5.1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 1221211 2 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 1231 3 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表5.1所示;由状态转换表可得状态转换图,如图A5.1所示。电路可以自启动。 表5.1 图A5.1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [5.2] 试分析图P5.2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。 图P5.2

[解] 驱动方程:21Q A D =, 212Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(12211 2 n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表5.2 由状态方程可得状态转换表,如表5.2所示;由状态转换表 可得状态转换图,如图A5.2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A5.2 [5.3] 试分析图P5.3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P5.3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+1 1 n Q 32Q Q ·1Q ; 211 2Q Q Q n =++231Q Q Q ; 323211 3 Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A5.3所示,电路能够自启动。 图A5.3 [5.4] 分析图P5.4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

实验三 时序逻辑电路的VHDL设计

实验三时序逻辑电路的VHDL设计 一、实验目的与要求 1、目的 (1)熟悉VHDL语言的编程方法 (2)学会利用VHDL语言设计实现时序逻辑功能器件的逻辑功能。 (3)总结体会VHDL语言的编程技巧方法 2、要求 (1)调试程序要记录调试过程中出现的问题及解决办法; (2)给出每个问题的算法或画出流程图; (3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应用,不断积累编程及调试经验; (4)做完实验后给出本实验的实验报告。 二、实验设备、环境 PII以上计算机,装有QuartusII软件 三、方法与步骤 (一)教师简单回顾所需知识并演示较一个简单功能的实现过程。 1、简单回顾组合逻辑电路的特点及常用逻辑功能器件的功能 2、回顾QuartusII的VHDL操作步骤 3、以JKFF为例,重点演示该时序逻辑单元的VHDL设计过程。 (1)JKFF的参考VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JK_FF IS PORT(J,K:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC); END JK_FF; ARCHITECTURE A OF JK_FF IS SIGNAL QTMP:STD_LOGIC; SIGNAL J_K:STD_LOGIC_VECTOR(0 TO 1); BEGIN J_K<=J&K; PROCESS(CLK,J_K) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE J_K IS WHEN "00"=>NULL;

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

电子技术基础复习题-时序逻辑电路(1)

《电子技术基础》复习题 时序逻辑电路 一、填空题: 1.具有“置0”、“置1”、“保持”和“计数功能”的触发器是() 2.触发器有门电路构成,但它不同门电路功能,主要特点是:() 型触发器的直接置0端Rd、置1端Sd的正确用法是() 4.按触发方式双稳态触发器分为:() 5.时序电路可以由()组成 6.时序电路输出状态的改变() 7.通常寄存器应具有()功能 8.通常计数器应具有()功能 9. M进制计数器的状态转换的特点是设初态后,每来()个CP时,计数器又重回初态。 10.欲构成能记最大十进制数为999的计数器,至少需要()个双稳触发器。 11. 同步时序逻辑电路中所有触发器的时钟端应()。 二、选择题: 1.计数器在电路组成上的特点是() a)有CP输入端,无数码输入端 b) 有CP输入端和数码输入端 c) 无CP输入端,有数码输 入端 2.按各触发器的状态转换与CP的关系分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 3. 按计数器的状态变换的规律分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 4 按计数器的进位制分类,计数器可分为()计数器。 a)加法、减法和加减可逆 b)同步和异步 c)二、十和M进制 5. n位二进制加法计数器有()个状态,最大计数值是()。 a)2n-1 b)2n c)2n-1 6.分析时序逻辑电路的状态表,可知它是一只()。 (a) 二进制计数器(b)六进制计数(c) 五进制计数器 7. 分析如图所示计数器的波形图,可知它是一只()。 (a) 六进制计数器(b) 七进制计数器(c) 八进制计数器

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

时序逻辑电路习题解答解读

自我测验题 1.图T4.1所示为由或非门构成的基本SR锁存器,输入S、R的约束条件是。A.SR=0B.SR=1C.S+R=0D.S+R=1 Q G 22 Q R S 图T4.1图T4.2 2.图T4.2所示为由与非门组成的基本SR锁存器,为使锁存器处于“置1”状态, 其R S?应为。 A.R S?=.R S?=10D.R S?=11 3.SR锁存器电路如图T4.3所示,已知X 、Y波形,判断Q的波形应为A、B、C、D 中的。假定锁存器的初始状态为0。 X Y X Y A B C D 不定 不定 (a)(b) 图T4.3 4.有一T触发器,在T=1时,加上时钟脉冲,则触发器。 A.保持原态B.置0C.置1D.翻转 5.假设JK触发器的现态Q n=0,要求Q n+1=0,则应使。 A.J=×,K=0B.J=0,K=×C.J=1,K=×D.J=K=1 6.电路如图T4.6所示。实现A Q Q n n+ = +1的电路是。

A A A A A . B . C . D . 图T4.6 7.电路如图T4.7所示。实现n n Q Q =+1的电路是 。 CP CP CP A . B . C . D . 图T4.7 8.电路如图T4.8所示。输出端Q 所得波形的频率为CP 信号二分频的电路为。 1 A . B . C . D . 图T4.8 9.将D 触发器改造成T T Q 图T4.9 A .或非门 B .与非门 C .异或门 D .同或门 10.触发器异步输入端的作用是。 A .清0 B .置1 C .接收时钟脉冲 D .清0或置1 11.米里型时序逻辑电路的输出是。 A .只与输入有关

实验三vhdl时序逻辑电路设计

实验三 VHDL 时序逻辑电路设计 一、实验目的 1.熟悉用VHDL语言设计时序逻辑电路的方法 2.熟悉用Quartus文本输入法进行电路设计 二、实验所用仪器元件及用途 1.计算机:装有Quartus软件,为VHDL语言提供操作场所。 2.直流稳压电源:通过USB接口实现,为实验开发板提供稳定电源。 3.数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。 三、实验内容 1.用VHDL语言设计实现一个8421码十进制计数器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 (2)试验结果:VHDL代码和仿真结果。 2.用VHDL语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求。 (2)试验结果:VHDL代码和仿真结果。 3.用VHDL语言设计实现一个控制8个发光二极管亮灭的电路。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 a.单点移动模式:一个点在8个发光二极管上来回的亮 b.幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间 点灭,依次往复 c.通过拨码开关或按键控制两种模式的转换 (2)试验结果:VHDL代码和仿真结果。 四、实验设计思路及过程 1.8421码十进制计数器状态转移表 左图为8421码十进制 计数器的状态转移表,abcd 为初状态,ABCD为下一状 态,每当有“1”出现时, 相应的管脚就亮灯,从而从 0000到1001的灯依次出 现。 VHDL代码如下: LIBRARY IEEE;

时序逻辑电路

课程名称:数字逻辑电路设计实践实验名称:组合逻辑电路设计

时序逻辑电路 1、 实验目的 1. 掌握时序逻辑电路的一般设计过程; 2. 掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求; 3. 掌握时序逻辑电路的基本调试方法; 4. 熟练使用示波器和逻辑分析仪观察波形图,并会使用逻辑分析仪做状态分析。 2、 实验原理 详见书103~147 3、 实验内容 1. 广告流水灯 a. 实验要求 用触发器、组合函数器件和门电路设计一个广告流水灯,该流水等由8个LED 组成,工作时始终为1暗7亮,且这一个暗灯循环右移。 1 写出设计过程,画出设计的逻辑电路图,按图搭接电路。 1)状态转换图: 现态 次态 Q2(n) Q1(n) Q0(n) Q2(n+1) Q1(n+1) Q0(n+1) 0 0 0 0 0 1 0 0 1 0 1 0 0 1 0 0 1 1 0 1 1 1 0 0 1 0 0 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 2)建立卡诺图: 001 010 100 011 101 110 000 111 1!1 210n n n Q Q Q +++ 有上表得: Q 0n 1=Q 0 n 0 1 00 01 11 10 2 n Q 10n n Q Q

Q 1n 1=Q 0n ⊕Q 1 n Q 2n 1=Q 0n Q 1n ⊕Q 2n =Q 0n Q 1n ⊕Q 2 n 因此,需要三个D 触发器来实现时序电路,三个D 触发器分别对应Q0、Q1、Q2 通过一片74LS138 3-8线译码器将Q2Q1Q0所对应的二进制码输出转化为相应的0~7号LED 灯的输入电平。 2 将单脉冲加到系统时钟端,静态验证实验电路。 3 将TTL 连续脉冲信号加到系统时钟端,用示波器和逻辑分析仪观察并记录时钟脉 冲CLK 、触发器的输出端Q2、Q1、Q0和8个LED 上的波形。 b . 实验数据 ① 设计电路。 U1A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U2A 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4 U3B 74ALS74AN 1D 2 1Q 5 ~1Q 6 ~1CLR 1 1CLK 3 ~1PR 4U4A 74ALS86N U5B 74ALS86N U6A 74LS04N U7A 74LS00N VCC 5V 1 45 78U9 74LS138N Y015Y114Y213Y312Y411Y510Y69Y7 7 A 1 B 2 C 3G16~G2A 4~G2B 5 6 23 VCC VCC 5V VCC LED ② 静态验证 (自拟表格) 将3-8译码器的15Y ~0Y 输出端,从左到右依次接测试箱上的8个LED 灯80~L L ,3个D 触发器共同接箱上经消抖处理的当脉冲信号(上升沿触发)。依次按动单脉冲按钮,得以下结果。见表1. 表1.广告流水灯静态验证结果 次序 L8 L7 L6 L5 L4 L3 L2 L1 1 暗 亮 亮 亮 亮 亮 亮 亮 2 亮 暗 亮 亮 亮 亮 亮 亮 3 亮 亮 暗 亮 亮 亮 亮 亮 4 亮 亮 亮 暗 亮 亮 亮 亮 5 亮 亮 亮 亮 暗 亮 亮 亮 6 亮 亮 亮 亮 亮 暗 亮 亮

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

最新数字电子技术基础电子教案——第5章时序逻辑电路.docx

第 5 章时序逻辑电路 5.1时序逻辑电路的基本概念 1.时序逻辑电路的结构及特点 时序逻辑电路在任何时刻的输出状态不仅取决于当时的输入信号,还与电路的原状态有关,触发器就是最简单的时序逻辑电路,时序逻辑电路中必须含有存 储电路。时序电路的基本结构如图 5.1 所示,它由组合电路和存储电路两部分 组成。 图 5.1时序逻辑电路框图 时序逻辑电路具有以下特点: (1)时序逻辑电路通常包含组合电路和存储电路两个组成部分,而存储电路 要记忆给定时刻前的输入输出信号,是必不可少的。 (2)时序逻辑电路中存在反馈,存储电路的输出状态必须反馈到组合电路的 输入端,与输入信号一起,共同决定组合逻辑电路的输出。 2.时序逻辑电路的分类 ( 1)按时钟输入方式 时序电路按照时钟输入方式分为同步时序电路和异步时序电路两大类。同步时序电路中,各触发器受同一时钟控制,其状态转换与所加的时钟脉冲信号都是同步的;异步时序电路中,各触发器的时钟不同,电路状态的转换有先有后。同 步时序电路较复杂,其速度高于异步时序电路。 ( 2)按输出信号的特点 根据输出信号的特点可将时序电路分为米里(Mealy)型和摩尔(Moore)型两类。米里型电路的外部输出 Z 既与触发器的状态 Q n有关,又与外部输入 X 有

关。而摩尔型电路的外部输出Z 仅与触发器的状态Q n有关,而与外部输入X 无关。 ( 3)按逻辑功能 时序逻辑电路按逻辑功能可划分为寄存器、锁存器、移位寄存器、计数器和节拍发生器等。 3.时序逻辑电路的逻辑功能描述方法 描述一个时序电路的逻辑功能可以采用逻辑方程组(驱动方程、输出方程、 状态方程)、状态表、状态图、时序图等方法。这些方法可以相互转换,而且 都是分析和设计时序电路的基本工具。 5.2时序逻辑电路的分析方法和设计方法 1.时序逻辑电路的分析步骤 (1)首先确定是同步还是异步。若是异步,须写出各触发器的时钟方程。 (2)写驱动方程。 (3)写状态方程(或次态方程)。 (4)写输出方程。若电路由外部输出,要写出这些输出的逻辑表达式,即输 出方程。 (5)列状态表 (6)画状态图和时序图。 (7)检查电路能否自启动并说明其逻辑功能。 5.2.1同步时序逻辑电路的设计方法 1.同步时序逻辑电路的设计步骤 设计同步时序电路的一般过程如图 5.10 所示。 图 5.10同步时序电路的设计过程

相关主题
文本预览
相关文档 最新文档