当前位置:文档之家› 模电大作业实验报告

模电大作业实验报告

模电大作业实验报告
模电大作业实验报告

模电大作业

电流负反馈偏置的共发射极放大电路

Multisim 仿真分析报告

一、 任务与要求

电流负反馈偏置的共发射极放大电路如图1所示,设晶体管β=100,r bb’=100Ω。 (1)计算电路的电压增益A us =U o /U s ,输入电阻R i 及输出电阻R o ; (2)研究耦合电容、旁路电容对低频截止频率f L 的影响:

①令C 2,C E 足够大,计算由C 1引起的低频截止频率f L1; ②令C 1,C E 足够大,计算由C 2引起的低频截止频率f L2; ③令C 1,C 2足够大,计算由C E 引起的低频截止频率f L3; ④同时考虑C 1,C 2,C E 时的低频截止频率f L ;

+Vcc

+

-V o

L Ω

V R S 200

图1 电流负反馈偏置共发射极放大电路

(3)采用图1所示的电路结构,使用上述给定的晶体管参数,设R L =3k Ω,R S =100Ω,设计其它电路元件参数,满足下列要求:A us ≥40,f L ≤80Hz 。

二、 仿真软件搭建的电路与仿真分析过程

(1) 计算电路的电压增益A us =U o /U s ,输入电阻R i 及输出电阻R o ;

a).估算静态工作点Q 及r be

U BB =

R B2

R B1+R B2V CC

=2 V

I EQ=

U BB?U BEQ

R B1R B2

(1+β)(R B1+R B2)

+R E

=1.07 mA

U CEQ≈V CC?I EQ(R C+R E)=7.39 V

I BQ=

I EQ

1+β

≈10.6 μA

r be≈r bb′+(1+β)U T

I EQ

=2.554 kΩ

b).估算动态参数及A us

A u=U o

U i

=?

β

R C R L

R C+R L

r be

=?78.45

R i=

r be R B1R B2

R B1r be+R B2r be+R B1R B2

≈2.21 kΩR o=R C=3.3 kΩ

A us=

R i

R i+R s

A u=?71.9

c).利用仿真电路测量放大倍数,仿真电路如下:

测得A u=?77.43A us=?71.09R i=2.24kΩR o=3.30kΩ

计算得δA

u =1.30%δA

us

= 1.13%δR

i

=1.36%δR

o

=0.0%

(2)研究耦合电容、旁路电容对低频截止频率f L的影响:a). 令C2,C E足够大,计算由C1引起的低频截止频率f L1 ;取C2,C E为1F,仿真电路如下图所示:

b). 令C1,C E足够大,计算由C2引起的低频截止频率f L2;取C1,C E为1F,仿真电路如下图所示:

c). 令C1,C2足够大,计算由C E引起的低频截止频率f L3;取C1,C2为1F,仿真电路如下图所示:

d). 同时考虑C1,C2,C E时的低频截止频率f L;

仿真电路如下图所示:

(3)采用图1所示的电路结构,使用上述给定的晶体管参数,设R L=3kΩ,R S=100Ω,设计其它电路元件参数,满足下列要求:A us≥40,f L≤80Hz。

由(2)可知,C E的变化对低频截止频率的影响很大,因此要保证A us≥40,只需电阻值不变,要保证f L≤80Hz,则需增大C E的值。

保持C1,C2不变,当C E为1F时,f L为22.568Hz,当C E为100μF时,f L为79.009Hz,因此只需改变C E的值在100μF以上即可。

三、仿真结果

(1)计算电路的电压增益A us=U o/U s,输入电阻R i及输出电阻R o

(2)研究耦合电容、旁路电容对低频截止频率f L的影响;

四、结论

(1)输入输出电阻及放大倍数的理论值和测量值的误差均在允许范围内。

(2)C E的变化对低频截止频率的影响很大,C E增大时低频截止频率明显减小;而C1,C2的变化对低频截止频率的影响很小。

五、体会

能够使用multisim进行基本的仿真操作,但稍微复杂的参数设置掌握并不熟练,对晶体管的性能掌握不够熟练,对放大电路的掌握不够。

杭州电子科技大学数电大作业实验报告电子琴

数电大作业实验报告如图是CODE3的case语句程序,该模块是一个编码器,即将输入的8位琴键信号进行编码,输出一个4位码,最多能对应16个音符(若有16个键)。 如图所示是INX2CODE的case语句程序,该模块是一个译码器,它将来自键盘输入的编码信号译码成数控分频器SPK0输出信号的频率控制字。 另外两个模块是M_CODE和DCD7SG,它们的case语句程序如上图所示。前者的功能是将来自CODE3的键盘编码译成简谱码和对应的音调高低值H,后者是一个数码管7段显示译码器,负责将简谱码译成数码管的显示信号。 如图所示是SPK0模块的内部结构。其中的计数器CNT11B是一个LPM宏模块,这是一个11位二进制加法计数器。在设置其结构参数时,应该选择同步加载控制,即sload(Synchronous Load),这样能较好地避免来自进位信号cout中可能的毛刺影响。异步加载aload极易受到随机窄脉冲的误触发,在此类电路中不宜采用。图中D触发器和反相器的功能是将用于控制加载的进位信号延迟半个时钟周期,一来也是为了滤除可能的毛刺,以免对加载更为可靠,因为这时,时钟上升沿正好处于加载脉冲的中点。 模块CODE3,INX2CODE和SPK0的主要工作过程是这样的: 当按琴键后,产生的数据经编码器获得一个编码(例如,当按下第二个键,对应0010,即2),它对应模块INX2CODE中的一个值(2对应390H)。当这个值(如390H)被置入模块SPK0中的11位可预置计数器中后。由于计数器的进位端与预置数加载段端相连,导致此计数器将不断以此值作为计数起始值,直至全1。

以下以预置值为390H为例,来计算SPK0输出信号的频率值。 当以390H为计数器起始值后,此计数器成为一个模(7FFH-390H=46FH=1135)的计数器。即每从CLK端输入1135个脉冲,BEEP端输出一个进位脉冲。由于输入的时钟频率是1MHz (周期是1us),于是BEEP输出的信号频率是1/(1135us)=841Hz。 由下面电子琴的顶层电路可见,SPK0的输出信号经过一个由D触发器接成的T’触发器后才输出给蜂鸣器。这时信号被作了二分频,于是,预置值390H对应的与蜂鸣器发音的基频F 约等于440Hz。 B 电子琴顶层电路中T’触发器有两个功能,一个作用是作二分频器;另一个作用是作为占空比均衡电路。这是因为由SPK0模块输出信号的脉宽极窄,功率极低,无法驱动蜂鸣器,但信号通过T’脉宽就均匀了(F 的占空比为50%)。 B 如图所示是电子琴顶层设计电路,含2个输入口和3个输出口。 1.工作时钟CLK,频率:1MHz。用于在主控模块中产生与琴键对应的振荡频率,以驱动蜂 鸣器发出相应的声音。 2.琴键输入DIN[7..0].8个音符,8位中只能有一位为0,即8个琴键中每一时刻只能按 一个键。 3.输出端口SPK0用于驱动蜂鸣器。 4.输出信号LED接数码管,用于显示对应的简码谱。H显示音高低。

工商管理模拟实验实验报告范本

宁波广播电视大学工商管理专业(本科)模拟实验 实验报告 学院:北仑电大系:专业:工商管理年级: 姓名:学号:成绩: 实验的目的和要求 工商管理模拟实验的练习是为了进一步加强专业综合实践教学环节中的社会实践部分,培养和提高学生综合运用所学的基本理论、基本知识和基本技能分析和解决实际问题的能力,培养学生的应用能力和创造能力 通过模拟迪宝乐有限公司这一加工装配型企业的运作,使学生在参与营销、技术、采购、设备、生产、品质、仓库、财务、人事等各个环节的实际操作过程中,熟悉各职能部门是怎样独立运作,部门之间是怎样相互协调关系。了解现代企业管理的一般流程,并能整合所学的管理理论知识,掌握现代企业管理的实用工具与方法,成为企业所需要的实用管理人才。 实验要求:通过基础数据的实习要掌握基础数据的来源以及相关获取的手段、方法;理解基础数据的作用、意义、重要性;了解基础数据与业务管理之间的关系,基础数据的表现形式以及录入方式。通过业务数据的实习要掌握营销、生产、采购、品质、仓库、技术、设备、财务、人力资源各部门的工作内容和业务流程;认识、掌握各部门的管理方法和策略;理解各部门的作用、意义、重要性及部门之间的相互协调制约关系;了解ISO9000的内容、认证程序及与全面质量管理的关系。 实验操作计划和时间安排 9月7日-12月8日期间,在宁波电大北仑分校统一安排,我们在面授辅导课时间集中在学校工商会计模拟实验室进行模拟练习。上机时间累计32学时。同时,我们利用业余时间在宁波电大网上课堂和中央电大电大在线进行自主学习,并结合本企业的实际进行相应的练习。 实验内容: 工商管理模拟实验软件共有10个模块,我们按照教学计划的要求对这些模块都进行了练习,具体内容如下: 以迪宝乐电子有限公司为例,了解其企业背景,然后对该企业的有关基础数据进行设置:基础数据与业务管理之间的关系;基础数据的表现形式以及录入方式;掌握基础数据的来源以及相关获取的手段、方法;根据系统配备的案例,建立一

西电新技术讲座课程大作业-并行核外矩量法

新技术讲座课程大作业报告 并行核外矩量法 学院:电子工程学院 专业:电磁场与无线技术 班级:1302061 学号: 姓名: 电子邮件: 日期: 2016 年 06 月21日 成绩: 指导教师:张玉

摘要 本文先简要介绍并行核外计算的发展现状与并行计算的核心思想及其评估方法中加速比的概念,再详写核内LU分解的推导过程并由此推广到并行核内LU分解,最后引出并行核外LU分解算法。 并行核内矩量法与并行核外矩量法比较是本文核心,以求导体球的散射模型为例,比较并行核内矩量法与并行核外矩量法,发现并行核外矩量法比并行核内矩量法填充阶段时间消耗多2-3倍,并且二者的加速比均不理想。同时也发现并行核外矩量法在填充阶段所消耗的时间比并行核内矩量法多了不到一倍,结合在大规模电磁计算中计算机内存的重要性,得出并行核外矩量法在大规模计算中以少量的的额外时间消耗换来计算机内存的合理利用的结论。 总而言之,为了突破计算机内存大小的限制,并行核外矩量法为实际的工程电磁计算提供了一种综合效率较高的选择方案。 关键词:并行核外矩量法加速比计算机内存工程电磁计算

一、 并行核外计算发展现状 计电磁学发展至今,应用范围越来越广,近些年来更是在电大尺寸平台中得到了快速发展。由于电大尺寸平台下所解决的问题复杂,研究目标不论是形状还是环境都很繁杂。在采用矩量法分析后,虽然可以得到很高的精度,但却面临着庞大的矩阵规模。引入机群处理后,设计并行计算来处理需要很大的内存,种种原因的折衷结果就是引入核外空间存储该矩阵,然后分块读取和处理,最后计算出所需的各类参数,引出目标体相应的特性。 二、并行计算 2.1并行计算简介 并行计算(parallel computing )是将某一个运算任务进行分解,,然后将分解后所得的子任务交给各个很多处理器进行运算处理。在运算过程中,每个处理器之间实时进行数据通信和协同运算,并完成了子任务。在这一基础上,整个运算的速度大大提高,求解计算速度效率显著增强,计算的规模可以成倍增加。通过并行计算的定义可以看出,并行计算至少需要两台以上的计算机同时运行,且每台计算机之间可以实时进行数据交换;待处理的运算任务可以被划分成多个子任务,并且,每个子运算任务可以并行在各个计算机处理器上同时计算,还要有固定的程序对各个处理器上的数据编程处理,汇总运算结果,最终达到并行计算的目的。 2.2并行算法评估 评估手段有很多,这里重点介绍加速比的概念:在处理器资源独享的情况下,单个处理器进行计算所需的时间比多个处理器在相同环境下处理同一个任务时所需时间的比值,称为加速比 公式定义为加速比(P 个处理器):1p 2 t S t (2-1) 其中1t 是指单个处理器完成真个运算任务所需的时间,2t 是指P 个处理器在并行算法下运算同一个任务所需要的时间。

工商企业管理模拟实验实验报告[1]

工商企业管理模拟实验实验报告[1] 闪燃工商企业管理模拟实验实验报告[1] 工商企业工商企业管理模拟实验研究报告 题目工商企业管理模拟实验心得体会姓名孙丽学号201*秋跟班201*春注册专业工商管理层次本科指导教师任丽红教学点宁夏电大直属 电大开放教育工商管理专业模拟实验报告 办学单位宁夏电大学号姓名孙丽试验时间:201*年3月19日4月10日试验报告题目:工商企业管理模拟实验心得体会地点:教学大楼六楼微机室(2)计划:1.3月19-20日进行实验概要,模拟企业资料和坚实基础数据实习以及业务发展数据实习中的201*年12月~201*年1月5日发生的管理业务实习。2.3月27日进行。业务发展数据实习中的201*年1月6日~201*年1月19日发生的管理业务实习3.4月9日-10日进行业务发展数据实习中的201*年1月20日~201*年1月30日发生的管理业务实习。以及进行企业外贸企业管理模拟测试考试。上机操作流程中学到的企业管理业务流程()营销部流程1.制定客户订单,学习了客户订单的形式内容与作用。2.订单评审,掌握了订单评审甄选的方法与内容。3.销售合同录入,掌握了销售合同的内容和销售合同在企业同外部发生经济业务中所起的及其在企业内部运作中所处的地位;并学习了常用销售合同的本形式。4.生成订制单,学习了订制单作为种以外部需求生产的方式在企业管理中的作用。5.已收款录入。懂得了收款本人录在企业分析市场推广活动中对销售收入的分析及对客户的跟踪与评估所起的积极作用。6.交运单录入,学习了企业提交产品给客户的方式方法。7.客户资料录入,学习了代收款客户资料管理的内容,(二)生产部流程1.主生产计划,学习了生

数电大作业

数电作业 课程名称:数字电子技术基础课程时间:2015年秋 授课教师:康磊 学生姓名:XXX 学生班级: 学生学号:

联系电话: 哈尔滨工业大学英才学院 2015年12月 大作业一 一、设计目的

利用Verilog HDL设计一个电路,使其可以检测输入的一段由二进制数组成的序列,若序列中有连续的三个或者三个以上的1,则电路下一个时钟到来时输出为1,否则为0。状态转换图如图所示。 二、设计步骤 1、安装ISE14.2,并学会如何仿真。 2、根据状态图编写verilog程序。 3、仿真并生成仿真波形图。 4、保存项目并完成报告。 三、程序源代码 1、主程序 `timescale 1ns / 1ps module shudian1(clk,rst,din,out); input clk,rst,din; output out; reg[2:1] y, Y; reg out; parameter A=2'b00,B=2'b01,C=2'b10,D=2'b11; always @(posedge clk or negedge rst) begin if(!rst)y <= A;

elsey <= Y; end always@(y or din) begin case(y) A: begin out = 0; if(din) Y=B; else Y=A; end B: begin out = 0; if(din) Y=C; else Y=A; end C: begin out = 0; if(din) Y=D; else Y=A; end D: begin out = 1; if(din) Y=D; else Y=A; end default:begin out = 0; Y = A; end endcase end endmodule 2、测试程序 `timescale 1ns / 1ps module sudian11; // Inputs reg clk; reg rst; reg [20:0]data; assign din=data[20]; // Outputs wire out;

电磁散射与隐身技术导论-西安电子科技大学

电磁散射与隐身技术导论课程大作业报告 学院:电子工程学院 专业:电子信息工程 班级: 0210** 学号: 0210**** 姓名: ****** 电子邮件: 日期: 2018 年 07 月 成绩: 指导教师:姜文

雷达目标RCS近远场变换 在现代军事领域中,隐身技术和反隐身技术是重中之重,研究隐身和反隐身技术就要研究目标的电磁散射特性。雷达散射截面(RCS)是评价目标散射特征的最基本参数之一,其计算和测量的研究具有重要意义。计算方法有解析方法,精确预估技术和高频近似方法等。根据测量方式的不同,可以分为远场测量、近场测量和紧缩场测量。远场测量在室外进行,虽然能直接得到目标RCS,但是条件难以满足(满足远场条件时,被测目标与天线间的距离非常大),相比之下,在微波暗室中进行的近场测量由于采用缩比测量的方法更容易满足测试条件。相对于紧缩场测量,近场测量的精度更高,成本也有所降低,于是近场测量越来越成为研究的一个重点。近场测试到的雷达回波信号并不是工程中所关心的RCS,而如何由近场测量数据得到目标RCS,则是必须要解决的问题。 为了得到目标RCS,将目标等效为一维分布的散射中心,并忽略了散射中心与雷达之间的相互影响,忽略散射中心与测试环境之间的相互影响。根据雷达回波信号,研究了一种利用雷达近场数据来估计目标总的RCS的方法。推导了算法的具体过程,将研究重点放在了算法的核心——权重函数上。分别仿真了单站正视,单站侧视,对称双站,不对称双站几种情况下权重函数的特性,具体表现为不同参数对权重函数幅度和相位的影响。基于仿真结果,提出了用定标来求得权重函数的方法。并用不同尺寸的金属球作为实验目标,采用某一个金属球理论RCS 值来定标,求得权重函数之后,用此算法变换出目标的RCS,并与其理论值做比对,验证了算法的可行性。 一、雷达截面的研究背景、发展现状 隐身和反隐身技术作为现代战争中电子高科技对抗的重要领域,一直都是各国军事研究的重点,随着各种精确制导武器和探测系统研制成功,隐身技术和反隐身技术越发重要。在军事应用中,希望己方的武器隐身性能尽可能好,并且能尽可能的探测到敌方的隐身目标。这就是必须研究隐身技术和反隐身技术最主要的原因,隐身技术与反隐身技术都必须研究目标的雷达散射特性,隐身技术是让目标的散射尽可能的小,反隐身技术则是尽量能够接收到目标的回波信号,因此要研究隐身和反隐身技术就要研究目标的电磁散射特性。隐身技术和反隐身技术

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

电大作业答案

电大作业答案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

《数学思想与方法》形成性考核册作业1答案 作业1 一、简答题 1、分别简单叙说算术与代数的解题方法基本思想,并且比较它们的区别。 答:算术解题方法的基本思想:首先要围绕所求的数量,收集和整理各种已知的数据,并依据问题的条件列出关于这些具体数据的算式,然后通过四则运算求得算式的结果。 代数解题方法的基本思想是:首先依据问题的条件组成内含已知数和未知数的代数式,并按等量关系列出方程,然后通过对方程进行恒等变换求出未知数的值。 它们的区别在于算术解题参与的量必须是已知的量,而代数解题允许未知的量参与运算;算术方法的关键之处是列算式,而代数方法的关键之处是列方程。 2、比较决定性现象和随机性现象的特点,简单叙说确定数学的局限。 答:人们常常遇到两类截然不同的现象,一类是决定性现象,另一类是随机现象。决定性现象的特点是:在一定的条件下,其结果可以唯一确定。因此决定性现象的条件和结果之间存在着必然的联系,所以事先可以预知结果如何。 随机现象的特点是:在一定的条件下,可能发生某种结果,也可能不发生某种结果。对于这类现象,由于条件和结果之间不存在必然性联系。 在数学学科中,人们常常把研究决定性现象数量规律的那些数学分支称为确定数学。用这些的分支来定量地描述某些决定性现象的运动和变化过程,从而确定结果。但是由于随机现象条件和结果之间不存在必然性联系,因此不能用确定数学来加以定量描述。同时确定数学也无法定量地揭示大量同类随机现象中所蕴涵的规律性。这些是确定数学的局限所在。 二、论述题 1、论述社会科学数学化的主要原因。 答:从整个科学发展趋势来看,社会科学的数学化也是必然的趋势,其主要原因可以归结为有下面四个方面: 第一,社会管理需要精确化的定量依据,这是促使社会科学数学化的最根本的因素。 第二,社会科学的各分支逐步走向成熟,社会科学理论体系的发展也需要精确化。 第三,随着数学的进一步发展,它出现了一些适合研究社会历史现象的新的数学分支。 第四,电子计算机的发展与应用,使非常复杂社会现象经过量化后可以进行数值处理。 2、论述数学的三次危机对数学发展的作用。 答:第一次数学危机促使人们去认识和理解无理数,导致了公理几何与逻辑的产生。 第二次数学危机促使人们去深入探讨实数理论,导致了分析基础理论的完善和集合论的产生。 第三次数学危机促使人们研究和分析数学悖论,导致了数理逻辑和一批现代数学的产生。 由此可见,数学危机的解决,往往给数学带来新的内容,新的进展,甚至引起革命性的变革,这也反映出矛盾斗争是事物发展的历史动力这一基本原理。整个数学的发展史就是矛盾斗争的历史,斗争的结果就是数学领域的发展。三、分析题 1、分析《几何原本》思想方法的特点,为什么 答:(1)封闭的演绎体系 因为在《几何原本》中,除了推导时所需要的逻辑规则外,每个定理的证明所采用的论据均是公设、公理或前面已经证明过的定理,并且引入的概念(除原始概念)也基本上是符合逻辑上对概念下定义的要求,原则上不再依赖其它东西。因此《几何原本》是一个封闭的演绎体系。 另外,《几何原本》的理论体系回避任何与社会生产现实生活有关的应用问题,因此对于社会生活的各个领域来说,它也是封闭的。所以,《几何原本》是一个封闭的演绎体系。 (2)抽象化的内容:《几何原本》中研究的对象都是抽象的概念和命题,它所探讨的是这些概念和命题之间的逻辑关系,不讨论这些概念和命题与社会生活之间的关系,也不考察这些数学模型所由之产生的现实原型。因此《几何原本》的内容是抽象的。

哈尔滨工业大学模电大作业

模电作业题仿真 一、设计要求 用集成运算放大器实现以下下列运算关系 ?-+=dt u u u u i i i o 321532 要求所用的运放不多于三个,画电路图,元件要取标称值,取值范围 为uF C uF M R k 101.011≤≤≤≤Ω, Ω。画出电路图,并用Multisim 进行仿真验证。 二、设计思路 1、2132i i u u +部分 考虑同相求和或者反相求和电路来实现2132i i u u +部分,由于同相求和的公式推导比反相求和要复杂一些,因此,这里选择反相求和电路得到)32(21i i u u +-后,再经过反相比例运算来得到2132i i u u +。 实现该部分的电路图如图1所示: 图1 图中,输入信号1i u 为2V ,2i u 为1V 。

2、? -dt u i 35部分 这是一个积分电路,时间常数为2.0=RC ,在积分电容两端并联一个较大的电阻是为输入直流量或输入失调电压、失调电流和偏置电流提供流通路径,使其不经过积分电容,从而消除积分运算电路的输出饱和现象。该电阻的选择应保证尽可能不影响积分效果,同时又保证对输入直流量或输入失调电压等的放大倍数不能太大。 积分运算电路如图2所示: 图2 图中,输入信号3i u 为频率50HZ ,振幅为100V 的矩形波信号。 3、? -+=dt u u u u i i i o 321532叠加部分 将1和2的电路图进行叠加以后,所得波形为两者求和所得结果。此电路图如图3所示:

图3 三、仿真验证 1、2132i i u u +部分 输入信号1i u 为2V ,2i u 为1V ,理论上应得到V u u i i 73221=+,进行仿真后,得到图4的波形: 图4

标准实验报告模板

标准实验报告模板

实验报告 实验名称 课程名称___电子技术基础实验 院系部: 专业班级: 学生姓名:学号: 同组人:实验台号: 指导教师:成绩: 实验日期: 华北电力大学

一、实验目的及要求: 1. 学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2. 掌握放大器电压放大倍数和最大不失真输出电压的测试方法。 3. 悉常用电子仪器及模拟电路实验设备的使用。 二、仪器用具:略 三、实验原理 图1.2.1为电阻分压式工作点稳定单管放大器实验电路图。

图1.2.1 共射极单管放大器实验电路 在图1.2.1电路中,当流过偏置电阻1 B R 和2 B R 的电流远大于晶体管VT 的基极电流B I 时(一般5~10倍),则它的静态工作点可用下式估算: CC B2 B1B1 B U R R R U +≈ U CE =U CC -I C (R C +R F1 + R E ) 电压放大倍数: 1 )1( // F R β++-=be L C V r R R β A 其中r be = 200+26 (1+β)/I E 输入电阻:R i =R B1 // R B2 // [r be +(1+β)R F1] 输出电阻:R O ≈R C 四、实验方法与步骤: 1. 调试静态工作点 接通+12V 电源、调节R W ,使U E =2.0V ,测量U B 、U E 、U C 、R B2值。记入表1.2.1。 表1.2.1 U E =2.0V 测 量 值 计 算 值 U B (V ) U E (V ) U C (V ) R B2 (KΩ) U BE (V ) U CE (V ) I C (mA ) 2.665 2.0 7.8 53 0.865 5.2 2.0 根据表格测量数据,计算得到: U BE = U B - U E =0.665V ,U CE = U C - U E =5.8V,I C ≈I E = U E /R E =2/(1.1)=1.82mA C E BE B E I R U U I ≈+-≈1 F R

西电 数电大作业

数电作业设计报告 一、八人抢答电路 设计要求: 1.抢答器编号1到8,相应的抢答器被按下时其编号会在数码管上显示,此时其他抢答器的操作将被封锁; 2.具有每次抢答之前的手动清零功能; 3.清零后新一轮抢答开始,倒计时30秒,若30内无抢答器被按下,则红灯亮一下示意此次抢答无效; 具体结构展示: 1)抢答电路如图: 初始状态:

按下抢答器按键后: 按下清零按键后:

首先将八个抢答器的状态等效一组状态码,采用74LS148(8线-3线优先编码器)进行编码输出,输入端选取0到7位对应八个抢答器,由于输出范围为000~111,所以输出端加74LS283(超前进位加法器)将输出每项加0001,此时输出范围为0001~1000,输送给数码管以显示组号; 为了实现当有抢答器按下是其他抢答器被封锁的功能,采用74LS175(四D触发器)以及一个D触发器以及一个四输入与非门构成锁存器,连接方式如图。当有抢答器被按下的时候编码器从EO端端同时产生一个上升脉冲,送入4D触发器的时钟端,为了让时钟捕捉到触发器输入端的序列,使用D触发器对EO端的脉冲进行延时。最终当信号序列送入数码管后,四个Q输出端通过一个与非门连接编码器的使能端EI,当有信号序列输入时,与非门输出为1,编码器被禁止工作; 需要清零时按一下与触发器clear端相连的开关,此时四D触发 器Q输出端全部置1,与非门输出为0,编码器工作,等待接收信号;

2)计时电路如图: 采用两片74LS160(十进制计数器)整体置数法构成模三十计数器,计数范围为000000001 ~ 0011 0000,输出端连接数码管显示。 三十秒计数结束的时若仍没有抢答器被按下,则红灯亮,此次抢答无效。 3)总电路如图: 抢答电路与计时电路连接的关键在于:

电大作业标准答案

《数学思想与方法》形成性考核册作业1答案 作业1 一、简答题 1、分别简单叙说算术与代数的解题方法基本思想,并且比较它们的区别。 答:算术解题方法的基本思想:首先要围绕所求的数量,收集和整理各种已知的数据,并依据问题的条件列出关于这些具体数据的算式,然后通过四则运算求得算式的结果。 代数解题方法的基本思想是:首先依据问题的条件组成内含已知数和未知数的代数式,并按等量关系列出方程,然后通过对方程进行恒等变换求出未知数的值。 它们的区别在于算术解题参与的量必须是已知的量,而代数解题允许未知的量参与运算;算术方法的关键之处是列算式,而代数方法的关键之处是列方程。 2、比较决定性现象和随机性现象的特点,简单叙说确定数学的局限。 答:人们常常遇到两类截然不同的现象,一类是决定性现象,另一类是随机现象。决定性现象的特点是:在一定的条件下,其结果可以唯一确定。因此决定性现象的条件和结果之间存在着必然的联系,所以事先可以预知结果如何。 随机现象的特点是:在一定的条件下,可能发生某种结果,也可能不发生某种结果。对于这类现象,由于条件和结果之间不存在必然性联系。 在数学学科中,人们常常把研究决定性现象数量规律的那些数学分支称为确定数学。用这些的分支来定量地描述某些决定性现象的运动和变化过程,从而确定结果。但是由于随机现象条件和结果之间不存在必然性联系,因此不能用确定数学来加以定量描述。同时确定数学也无法定量地揭示大量同类随机现象中所蕴涵的规律性。这些是确定数学的局限所在。 二、论述题 1、论述社会科学数学化的主要原因。 答:从整个科学发展趋势来看,社会科学的数学化也是必然的趋势,其主要原因可以归结为有下面四个方面: 第一,社会管理需要精确化的定量依据,这是促使社会科学数学化的最根本的因素。 第二,社会科学的各分支逐步走向成熟,社会科学理论体系的发展也需要精确化。 第三,随着数学的进一步发展,它出现了一些适合研究社会历史现象的新的数学分支。 第四,电子计算机的发展与应用,使非常复杂社会现象经过量化后可以进行数值处理。 2、论述数学的三次危机对数学发展的作用。 答:第一次数学危机促使人们去认识和理解无理数,导致了公理几何与逻辑的产生。 第二次数学危机促使人们去深入探讨实数理论,导致了分析基础理论的完善和集合论的产生。 第三次数学危机促使人们研究和分析数学悖论,导致了数理逻辑和一批现代数学的产生。 由此可见,数学危机的解决,往往给数学带来新的内容,新的进展,甚至引起革命性的变革,这也反映出矛盾斗争是事物发展的历史动力这一基本原理。整个数学的发展史就是矛盾斗争的历史,斗争的结果就是数学领域的发展。三、分析题 1、分析《几何原本》思想方法的特点,为什么? 答:(1)封闭的演绎体系 因为在《几何原本》中,除了推导时所需要的逻辑规则外,每个定理的证明所采用的论据均是公设、公理或前面已经证明过的定理,并且引入的概念(除原始概念)也基本上是符合逻辑上对概念下定义的要求,原则上不再依赖其它东西。因此《几何原本》是一个封闭的演绎体系。 另外,《几何原本》的理论体系回避任何与社会生产现实生活有关的应用问题,因此对于社会生活的各个领域来说,它也是封闭的。所以,《几何原本》是一个封闭的演绎体系。 (2)抽象化的内容:《几何原本》中研究的对象都是抽象的概念和命题,它所探讨的是这些概念和命题之间的逻辑关系,不讨论这些概念和命题与社会生活之间的关系,也不考察这些数学模型所由之产生的现实原型。因此《几何原本》的内容是抽象的。

哈工大数电大作业-作业1-计数器

哈工大数电大作业-作业1-计数器 数电大作业 1 计数器 一、实验目的 1.学习使用Verilog HDL语言,并学会使用进行Quartus H软件编 程和仿真; 2.掌握数字电路的设计方法,熟悉设计过程及其步骤; 3.培养学生的动手能力,能学以致用,为今后从事电子线路设计 打下良好基础; 4.巩固加深对数电知识的理解,在仿真调试过程中,能结合原理 来分析实验现象; 二、实验内容 1.设计内容及要求 1)利用 Verilog HDL 设计一个以自己学号后三位为模的计数器; 2)编写源程序;

3)给出仿真电路图和仿真波形图; 2.需求分析: 由于本人的学号为 7112130501,后 3 位为 501,为便于观察,选取中间三位为进制来编写加法计数器,以保证与他人的区别性,即编一个以 213 为模的加法计数器。若采用同步清零的方法,则计数为 0~212,化为二进制数即为 0 0000 0000计到 0 1101 0100。

3. 编写源代码: module count_213(out, data, load, reset, elk); output [8:0] out; i 叩ut [8:0] data; input load ,reset, elk; reg [8:0] out; always ?(posedge elk) begin 辻(!reset)out=9, hOOO; else if (load)out=data; else if (out>=212)out=9, hOOO; else out=out+1; end endmodule 程序说明: 该计数器为一个9位计数器,计数范围0~212,具有同步同 步置数和同步清零功能。时钟的上升沿有效,当elk 信号的上升 沿到来时,如果清零信号为0,则清零;若不为0,计数器进行计 数,计至212处同步清零。 4. 画出仿真电路图: 图1为同步置数、同步清零加法计数器的仿真电路图 //elk 上升沿触发 〃同步清零,低电平有效 //同步预置 〃计数最大值为212,超过清零 〃计数

哈工大模电大作业

H a r b i n I n s t i t u t e o f T e c h n o l o g y 模电课程大作业(一) 设计题目:基于集成运算放大器的运算电路设计院系:电气工程及自动化学院 班级: 设计者: 学号: 设计时间:2014年春季学期 哈尔滨工业大学 2014年

设计内容 用集成运算放大器实现下列运算关系 ?-+=dt u u u u i i i o 321532 要求所用的运放不多于三个,画电路图,元件要取标称值,取值范围为 Ω≤≤ΩM R k 11 F C F μμ101.0≤≤ 原理分析 1、用比例运算电路实现1U 2U 运算 计算得:1R = 30ΩK ,3R = 15ΩK ,8R = 10ΩK ,7R = 5.1ΩK 此时,得到的1 O U = 2132U U --,因此,在1A 后加一反向比例电路,放 大倍数为-1。 2、用积分电路实现3U 运算 计算得:5R = 100ΩK ,10R = 10ΩK ,1C = 1uF 此时,得到2 O U = ?-dt 103U ,因此,1A 后需加一放大倍数为0.5的同 相比例电路。

设计电路图如下: 3K Ω 12K Ω3K Ω2K Ω 2K Ω 20K Ω 20K Ω 20K Ω 1uF 200K Ω 100K Ω A1 + -A2 + --+ A3 u I1u I3 u o ++ -- - ++20K Ω 1K Ω u I2u o1 u o2 由电路图知,A1所在单元电路是反相输入求和电路,所以可得: 112121212( )(23)3322o I I I I K K u u u u u K K K K ΩΩ =-+=-+Ω+ΩΩ+Ω A2所在单元电路是反相积分运算电路,且在初态时(0)0C u =,所以可得: 2330 1 ()052001t t o I I u t u u dt K uF =- +=-Ω?? ? A3所在单元电路为差分比例运算电路,所以可得: 21213121230010()5[(23)]23510t t o o o o o I I I I I I K u u u u u u dt u u u u u dt K Ω =-=-=---+=+-Ω ?? 则设计满足题设要求。

现代管理专题电大作业

现代管理专题选择题 1、知识经济是以( C )资产投入为主的经济。 C. 无形 2、知识经济依靠无形资产的投入实现可持续发展的前提是依靠 ( D )。 D. 世界经济一体化 3、知识经济的重要基础是( A )。 A. 知识与技术的研发 4、知识经济的发展过程中处于中心地位的是( B ) B. 信息和通讯技术 5、知识经济实现的先决条件是( C ) C.劳动力的素质和技能 6、工业经济向知识经济转变,在产业结构调整上表现为经济重心由制造业向( D )转换。 D. 服务业 7、知识经济是以( A )为导向的经济。 A. 知识决策 8、在知识经济新的市场观念下,宏观调控( B )。 B. 更为必要 9、涉及谁知道某些事和谁知道如何做某些事的信息的知识属于知道( B )的知识。 B. 谁 10、知识经济的灵魂是(B )。 B.创新 11、知道是什么的知识是指有关( C )方面的知识。 C.事实 12、经合组织认为:知识经济是建立在知识和信息的(A )、分配和使用基础之上的经济。 A.生产 13、在工业经济之后,出现了一种新的经济形态,称之为(B )。 B.知识经济 14、知道为什么的知识是指客观事物发展、变化的( D )和规律方面的知识。 D.原理 1、企业再造的成功保障是( D )。 D.以顾客为中心

2、以流程为中心的企业和以职能为中心的企业的根本不同是 ( D )。 D.企业的基本结构不同 3、流程中的所有工序分开,同时独立的进行,最后将各工序的半成品或部件进行汇总和组装。这种流程叫做(D )。 D.平行式流程 4、企业再造的最终目的是实现企业形态由传统的以职能为中心的职能导向型向新型的以( A )为中心的流程导向型的根本转变。 A.流程 5、企业再造理论是由(D )最先提出的。 D.迈克尔.哈默和詹姆斯.钱皮 6、企业再造的最终目标是将企业变成( B )。 B.流程导向型 7、在企业流程再造中,组织所担负的任务决定了,企业必须坚持( A )。 A. 以人为本的团队式管理 8、企业的业务流程是企业已输入各种原料和顾客需求为起点,到企业创造出( B )为终点的一系列活动。 B.对顾客有价值的产品(或服务) 9、企业从事生产或提供服务的基本活动组成的流程以及为这些基本活动提供支持的活性组织的流程组成了企业日常运作的( C )。 C. 经营流程 10、为完成目标而进行的一系列活动有机结合构成的流程是企业的( A )。 A. 管理流程 11、流程再造工程指导团的主要任务是( D )。 D. 制定企业再造的总体规划和决策 12、多道工序在互动的情况下同时进行,叫做( A )。 A. 同步工程 13、按流程处理对象的不同,可以分为实物流程和( B )等。 B. 信息流程 14、流程中的某一工序只有在前道工序完成的情况下才能进行,即所有工序都按先后顺序进行。这种流程叫做(C )。 C.连续式流程 1、企业知识资源的作用是(BC )。 B.是企业获取竞争优势的源泉 C.为企业创造者巨大的市场机会和财富 2、知识经济的繁荣不是直接取决于资源、资本、硬件技术的数量、规模和增量,而是直接依赖于(AC )的积累和利用。 A.知识 C.有效信息

西安电子科技大学网络教育导论答案

一、 现代远程教育是利用网络技术、多媒体技术等现代信息技术手段开展的新型教育形态,是建立在现代电子信息通信技术基础上的网络教育,以面授教学、函授教学和广播电视(视听)教学为辅助,它以学习者为主体,学生和教师、学生和教育机构之间主要运用多种媒体和多种交互手段进行系统教学和通信联系。现代远程教育是相对于函授教育、广播电视教育等传统远程教育形态而言。网络教育是现代信息技术应用于教育后产生的新概念,即运用网络技术与环境开展的教育,在教育部已出台的一些文件中,也称现代远程教育为网络教育。 现代远程教育的突出特点是:真更丰富的教学资源供受教育者选用;教学形式由原来的以教为主变为以学为主。需要说明的是,后一代远程教育并不意味着对前一代的否定或取代,不能把函授教育、广播电视教育看成是过时的教育。函授教育、广播电视教育的媒体手段与计算机网络、多媒体技术等新的媒休手段相结合,实现资源的优化配置和综合利用,可以说是现代远程教育发展的必然趋势。 在信息化社会中,人们生活、工作环境的变化越来越快,需要面对不断出现的新知识、新技术。一次性的学校教育,越来越不能满足个人终身的社会需要。只有不断学习,才能跟上生活、工作的节奏。 ●现代远程教育为所有求学者提供了平等的学习机会,使接受高等教育不再是少数人享有的权利,而是个体生存的基本条件;教育资源、教育对象、教育时空的广泛性,为大众的终身学习提供了可能性。 ● 接受教育不光是学习知识,还要学会学习,为以后继续学习培养良好的学习习惯;掌握必要的学习技能。学会利用现代信息技术进行自主学习,对今后不断地获取知识和提高教育层次将是非常有益的。 二、 (1)主要功能模块:直接授课系统、课件制作中心、网络教育信息中心、学生点播学习系第3 页(共1 页)统、BBS答疑系统、校外学习中心及教学过程监控系统等。(2)西电网院学习平台让我充分利用好课余时间,在工作之余坚持按时上课,按质按量的完成作业,并且利用西电网院学习平台在线上进行了网络学习。它使我在不断的学习中进步。我在网络学习中,归纳了三点学习方式:即“自学”、“互学”和“问学”。1、自学。生活中我利用业余时间在西电网院学习平台在线上收看浏媒体,下载课件。通过学习浏媒体,我把老师所讲的课程中重点、难点和要掌握的知识做好收看笔记。利用顺义电大在线平台,我在图书馆中找寻了大量的学习资料,对我平日的自主学习起到了重要的作用。2、互学。在网络环境下,我与同学之间通过顺义电大在线的课程讨论区,或在建立的“QQ群”上,与同学互发电子邮件,进行研究每门课程的分析讨论题。3、问学。通过在西电网院在线进行BBS 答疑系统,与辅导老师直接讨论问题,积极向老师请教自己不懂的地方,能有效的帮助自己的学习质量。通过以上三点学习方式,我认为利用网络搜集信息资料的方法,提高我们的学习积极性、自觉性和自主性,得到了学习的乐趣。通过上网,我对每门课程的学习知识理解更为深刻,提高了自己的分析处理能力和独立思考能力。我积极参加网上学习,增强了同学之间的交流、交往和沟通的机会,培养了我与同学之间的团队精神。使我在工作中更为自觉、主动、热心帮助他人。 三、 协作学习(Collaborative Learning)是一种通过小组或团队的形式组织学生进行学习的一种策

PPT实验报告模板文档

2020 PPT实验报告模板文档Contract Template

PPT实验报告模板文档 前言语料:温馨提醒,报告一般是指适用于下级向上级机关汇报工作,反映情况,答复上级机关的询问。按性质的不同,报告可划分为:综合报告和专题报告;按行文的直接目的不同,可将报告划分为:呈报性报告和呈转性报告。体会指的是接触一件事、一篇文章、或者其他什么东西之后,对你接触的事物产生的一些内心的想法和自己的理解 本文内容如下:【下载该文档后使用Word打开】 篇一:PPT实验报告 一、实验目的 1.熟悉Office软件的编辑制作环境;熟练掌握PowerPoint 演示文稿图形程序,掌握课件基本动态的设置和交互创建方法。 2.熟悉多媒体课件的开发流程,形成初步的多媒体课件的设计、开发能力;提高学生的合作意识,培养学生创新能力。二、实验环境 多媒体计算机;WindowsXP操作系统 三、实验内容 实验内容:利用PowerPoint程序设计与制作一个内容相对完整的自学演示型课件。结合自己的专业,选择了比较合适的教学内容,有利于让学生更好的接受该课程,更好的理解该课程教学的重难点,学习更多的知识。 四、操作步骤

1.首先准备好要做成模版的图片,打开PowerPoint并新建一个空白的PPT文档。 2.视图→母版→幻灯片母版,进入母版编辑状态。点击绘图工具栏上的“插入图片”按钮(或按“插入→图片→来自文件”),选中要做为模版的图片,确定。并调整图片大小,使之与母版大小一致。 3.在图片上点击鼠标右键,叠放次序→置于底层,使图片不能影响对母版排版的编辑。 4.幻灯片版式选择只有标题,然后进行文字编辑,再插入一张图片调整大小,然后叠放层次―>置于顶层 5.插入新幻灯片,插入图片,在图片上点击鼠标右键,叠放次序→置于底层,选择标题和文本版式,进行文字编辑 6.插入新幻灯片,插入图片,在图片上点击鼠标右键,叠放次序→置于底层,选择标题和两栏文本版式,进行文字编辑 7.插入新幻灯片,插入图片,在图片上点击鼠标右键,叠放次序→置于底层,选择标题和文本版式,进行文字编辑 8.观看放映 9.保存 五、实验体会与总结 通过这次的实验操作,使我学会了利用Office软件的PowerPoint演示文稿,图形程序设计与制作一个内容相对完整的自学演示型课件,熟练掌握PowerPoint演示文稿图形程序的几种常用演示的制作方法;如何处理文字,图片,声音,动画及视频

相关主题
文本预览
相关文档 最新文档