当前位置:文档之家› 组成原理参考复习

组成原理参考复习

组成原理参考复习
组成原理参考复习

第一章计算机系统概述

1. 目前的计算机中,代码形式是______。

A.指令以二进制形式存放,数据以十进制形式存放

B.指令以十进制形式存放,数据以二进制形式存放

C.指令和数据都以二进制形式存放

D.指令和数据都以十进制形式存放

2. 完整的计算机系统应包括______。

A. 运算器、存储器、控制器

B. 外部设备和主机

C. 主机和实用程序

D. 配套的硬件设备和软件系统

3. 目前我们所说的个人台式商用机属于______。

A.巨型机

B.中型机

C.小型机

D.微型机

4. Intel80486是32位微处理器,Pentium是______位微处理器。

A.16B.32C.48D.64

5. 下列______属于应用软件。

A. 操作系统

B. 编译系统

C. 连接程序

D.文本处理

6. 目前的计算机,从原理上讲______。

A.指令以二进制形式存放,数据以十进制形式存放

B.指令以十进制形式存放,数据以二进制形式存放

C.指令和数据都以二进制形式存放

D.指令和数据都以十进制形式存放

7. 计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”的概念,最早提出这种概念的是______。

A.巴贝奇

B.冯. 诺依曼

C.帕斯卡

D.贝尔

8.通常划分计算机发展时代是以()为标准

A.所用的电子器件

B.运算速度

C.计算机结构

D.所有语言

9.到目前为止,计算机中所有的信息任以二进制方式表示的理由是()

A.节约原件

B.运算速度快

C.由物理器件的性能决定

D.信息处理方便

10.冯.诺依曼计算机中指令和数据均以二进制形式存放在存储器中,CPU区分它们的依据是()

A.指令操作码的译码结果

B.指令和数据的寻址方式

C.指令周期的不同阶段

D.指令和数据所在的存储单元

11.计算机系统层次结构通常分为微程序机器层、机器语言层、操作系统层、汇编语言机器层和高级语言机器层。层次之间的依存关系为()

A.上下层都无关

B.上一层实现对下一层的功能扩展,而下一层与上一层无关

C.上一层实现对下一层的功能扩展,而下一层是实现上一层的基础

D.上一层与下一层无关,而下一层是实现上一层的基础

12.指令流通常是()

A.从主存流向控制器

B.从控制器流向主存

C.从控制器流向控制器

D.从主存流向主存

13.以下叙述中正确的是()

A.寄存器的设置对汇编语言程序是透明的

B.实际应用程序的预测结果能够全面代表计算机的性能

C.系列机的基本特征是指令系统向后兼容

D.软件和硬件在逻辑功能上是等价的

14.存储A.______并按B.______顺序执行,这是冯?诺依曼型计算机的工作原理。

15.有一台40MHz 的处理器执行标准测试程序,它包含的混合指令数和响应所需的时钟周期见表1-1.求有效的CPI 、MIPS 速率和程序的执行时间(I 为程序执行的条数) 指令类型

CPI 指令混合比 算术和逻辑

1 60% 高速缓存命中的访存

2 18% 转移

4 12% 高速缓存失败的访存 8 10%

16.两台计算机A 和B 采用不同主频的CPU ,而片内逻辑电路相同。

(1)若A 机的主频为8MHz ,B 机为12MHz ,则两机的CPU 时钟周期各为多少?

(2)如果A 机的平均指令执行速度为0.4MIPS ,那么A 机的平均指令执行时间是多少?

(3)B 机的平均指令执行速度MIPS 是多少?

第二章数据的表示和运算

1. 算术右移指令执行的操作是______。

A .符号位填0,并顺次右移1位,最低位移至进位标志位

B .符号位不变,并顺次右移1位,最低位移至进位标志位

C .进位标志位移至符号位,顺次右移1位,最低位移至进位标志位

D .符号位填1,并顺次右移1位,最低位移至进位标志位

2. 定点16位字长的字,采用2的补码形式表示时,一个字所能表示的整数范围是______。

A .-215 ─215-1

B .-215-1─215-1

C .-215+1─215

D .-215─215

3. 设寄存器位数为8位,机器数采用补码形式(一位符号位),对应于十进制数-27,寄存器内为______。

A .(27)16

B .(9B )16

C .(E5)16

D .(5A )16

4. 机器数______中,零的表示形式是唯一的。

A. 原码

B. 补码

C. 移码

D. 反码

5. 已知X<0且[X]原 = X 0.X 1X 2…Xn ,则[X]补可通过______求得。

A.各位求反,末位加1 B.求补 C.除X

0外求补 D.[X]

-1

6. 设[X]补=1.x

1x

2

x

3

x

4

,当满足______时,X > -1/2成立。

A.x

1必须为1,x

2

x

3

x

4

至少有一个为1 B.x

1

必须为1,x

2

x

3

x

4

任意

C.x

1必须为0,x

2

x

3

x

4

至少有一个为1 D.x

1

必须为0,x

2

x

3

x

4

任意

7. (2000)

10

化成十六进制数是______。

A.(7CD)

16 B。(7D0)

16

C。(7E0)

16

D。(7FO)

16

8. 用32位字长(其中1位符号位)表示定点小数时,所能表示的数值范围是______。

A. 0≤│N|≤1-2-32

B. 0≤│N|≤1-2-31

C. 0≤│N|≤1-2-30

D. 0≤│N|≤1-2-29

9. 下列数中最小的数为______。

A. (101001)

2 B. (52)

8

C. (101001)

BCD D. (233)

16

10. 下列数中最大的数是______。

A.(10011001)

2 B。(227)

8

C。(98)

16

D。(152)

10

11. ______表示法主要用于表示浮点数中的阶码。

A. 原码

B. 补码

C. 反码

D. 移码

12. 在小型或微型计算机里,普遍采用的字符编码是______。

A. BCD码

B. 16进制

C. 格雷码

D. ASCⅡ码

13. 下列有关运算器的描述中,______是正确的。

A.只做算术运算,不做逻辑运算

B. 只做加法

C.能暂时存放运算结果

D. 既做算术运算,又做逻辑运算

14、用1位奇偶效验能检测出1位主存错误的百分比为()

A.0%

B.100%

C.50%

D.无法计算

15.在CRC中,接收端检测出某一位数据错误后,纠正的方法是()

A.请求重发

B.删除数据

C.通过余数值自行纠正

D.以上均可

16.“春”字的机内码为B4BAH,由此可以推算他在GB2312-80国家标准中所在的区号是()

A.19区

B.20区

C.3区

D.35区

17.在大量数据传送中常用且有效的检验法是()

A.海明码

B.偶校验

C.奇校验

D.CRC校验

18、如果某单精度浮点数、某原码、某补码、某移码的32位机器数均为0xF0000000。这些数从大到小的顺序是()。

A. 浮原补移

B. 浮移补原

C. 移原补浮

D. 移补原浮

19.计算机在进行浮点数的加减运算之前先进行对阶操作,若x的阶码大于y的阶码,则应将()。

A. x的阶码缩小至与y的阶码相同,且使x的尾数部分进行算术左移

B. x的阶码缩小至与y的阶码相同,且使x的尾数部分进行算术右移

C. y的阶码扩大至与x的阶码相同,且使y的尾数部分进行算术左移

D. y的阶码扩大至与x的阶码相同,且使y的尾数部分进行算术右移

20. 移码表示法主要用于表示浮点数的A.______码,以利于比较两个B.______数的大小和

进行C.______操作。

21. 按IEEE754标准,一个浮点数由A.______、B.______、C.______三个域组成。

22. 汉字的A.______、B.______、C.______是计算机用于汉字输入、内部处理、输出三种不同用途的编码。

23. 运算器的两个主要功能是:A.______,B.______。

24.一个定点数由A.______和B.______两部分组成。

25.已知:X=0.1011,Y=-0.0101,求[X/2]

补,[X/4]

[-X]

及[Y/2]

,[Y/4]

[-Y]

以及CRC、海明码、原码1位乘法、补码一位乘法的求解

第三章存储系统的层次结构

1. 计算机的存储器系统是指______。

A.RAM存储器 B.ROM存储器 C.主存储器 D.主存储器和外存储器

2. 常用的虚拟存储系统由______两级存储器组成。

A.主存—辅存 B.快存—主存 C.快存—辅存 D.通用寄存器—主存

3. 某计算机字长32位,其存储容量为4MB,若按半字编址,它的寻址范围是______。

A.0 ─ 4MB B.0 ─ 2MB C.0 ─ 2M D.0 ─ 1M

4. 存储器是计算机系统中的记忆设备,它主要用来______。

A. 存放数据

B. 存放程序

C. 存放数据和程序

D. 存放微程序

5. 某计算机的字长16位,它的存储容量是64K,若按字编址,那么它的寻址范围是

______。

A. 0~64K

B. 0~32K

C. 0~64KB

D. 0~32KB

6. 双端口存储器所以能高速进行读写,是因为采用______。

A.高速芯片 B.两套相互独立的读写电路 C.流水技术 D .新型器件

7. 一个256KB的DRAM芯片,其地址线和数据线总和为

A.16 B.18 C.26 D.30

8. EPROM是指______。

A. 读写存储器

B. 只读存储器

C. 可编程的只读存储器

D. 光擦除可编程的只读存储器

9. 在主存和CPU之间增加cache存储器的目的是______。

A. 增加内存容量

B. 提高内存可靠性

C. 解决CPU和主存之间的速度匹配问题

D. 增加内存容量,同时加快存取速度

10. 某单片机的系统程序,不允许用户在执行时改变,则可以选用______作为存储芯片。

A. SRAM

B. 闪速存储器

C. cache

D.辅助存储器

11.下列各类存储器中,不采用随机存取方式的是()。

A. EPROM

B. CD-ROM

C. DRAM

D. SRAM

12.主存储器速度的表示中,存取时间(Ta)和存取周期(Tc)的关系表述正确的是()。

A. Ta >Tc

B. Ta

C. Ta = Tc

D. Ta >Tc或者Ta

13.若某存储器存储周期为250ns,每次读出16位,则该存储器的数据传输率是()。

A. 4*106B/s

B. 4MB/s

C. 8*106B/s

D. 8MB/s

14.某一SRAM芯片,其容量为1024*8位,除电源和接地线外,该芯片的引脚的最小数目为()。

A. 21

B. 22

C. 23

D. 24

15.DRAM的刷新是以()为单位的。

A. 存储单元

B.行

C.列

D.存储字

16.下列有关RAM和ROM的叙述中,正确的是()。

Ⅰ.RAM是易失性存储器,ROM是非易失型存储器

Ⅱ.RAM和ROM都是采用随机存取的方式进行信息访问

Ⅲ.RAM和ROM都可用做Cache

Ⅳ.RAM和ROM都需要刷新

A. 仅Ⅰ和Ⅱ

B. 仅Ⅱ和Ⅲ

C. 仅Ⅰ和Ⅱ和Ⅲ

D.仅Ⅱ和Ⅲ和Ⅳ

17.在存储器芯片中,地址译码采用双译码方式是为了()。

A. 扩大寻址范围

B. 减少存储单元数目

C. 增加存储单元数目

D. 减少存储单元选通线数目

18.下列关于闪存(Flash Memory)的叙述中,错误的是()。

A. 信息可读可写,并且读、写速度一样

B.存储元由MOS管组成,是一种半导体存储器

C.掉电后信息不丢失,是一种非易失性存储器

D.采用随机访问方式,可替代计算机外部存储器

19.某计算机存储器按字节编址,主存地址空间大小为64MB,现用4M*8位的RAM芯片组成32MB的主存储器,则存储器地址寄存器MAR的位数至少是()。

A. 22位

B. 23位

C. 25位

D. 26位

20.若内存地址区间为4000H~43FFH,每个存储单元可存储16位二进制数,该内存区域用4片存储器芯片构成,则构成该内存所用的存储器芯片的容量是()。

A. 512*16bit

B. 256*8bit

C. 256*16bit

D. 1024*8bit

21.假设某计算机的存储系统由Cache和主存组成,某程序执行过程中访存1000次,其中访问Cache缺失(未命中)50次,则Cache的命中率是()。

A. 5%

B.9.5%

C.50%

D.95%

22. 闪速存储器能提供高性能、低功耗、高可靠性以及A.______能力,因此作为B.______用于便携式电脑中。

23. 主存储器的性能指标主要是A.______、B.______、存储周期和存储器带宽。

24.CPU能直接访问A.______和B.______,但不能直接访问磁盘和光盘。

25.广泛使用的 A.______和 B.______都是半导体随机读写存储器,它们共同的缺点是

C.______。

26.什么是闪速存储器?它有哪些特点?

27.存储器容量为32字,字长64位,模块数m = 8,用交叉方式进行组织。存储周期T = 200ns, 数据总线宽度为64位,总线传输周期τ = 50ns。问该存储器的带宽是多少?

28. 有一个1024K×32位的存储器,由128K×8位的DRAM构成。

问:(1)总共需要多少DRAM芯片

(2)采用异步刷新,如果单元刷新间隔不超过8ms,则刷新信号周期是多少?

29.提高存储器速度可采用哪些措施,请说出至少五种措施。

30.用16k×8位的SRAM芯片构成64K×16位的存储器,要求画出该存储器的组成逻辑框图。

31.用64K*1位的DRAM芯片构成256k*8位的存储器,假定芯片内部只有一个位平面。回大如下问题:

(1)计算所需芯片数

(2)采用异步刷新方式,如每单元刷新间隔不超过2ms,则刷新信号周期是多少?

(3)如采用集中刷新方式,存储器刷新一次需要用多少读/写周期?

第四章指令系统

1.用于对某个存储器中操作数的寻址方式称为______寻址。

A. 直接

B. 间接

C. 寄存器直接

D. 寄存器间接

2.程序控制类指令的功能______。

A. 进行算术运算和逻辑运算

B. 进行主存和CPU之间的数据传送

C. 进行CPU和I/O设备之间的数据传送

D. 改变程序执行的顺序

3. 单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个数常需采用______。

A.堆栈寻址方式 B.立即寻址方式 C.隐含寻址方式 D.间接寻址方式

4.指令系统中采用不同寻址方式的目的是()。

A. 提供扩展操作码的可能并降低指令译码难度

B. 可缩短指令字长,扩大寻址空间,提高编程的灵活性

C. 实现程序控制

D. 三者都正确

5.某机器指令字长为16位,主存按字节编址,取指令时,每取一个字节PC自动加1。当前指令地址为2000H,指令内容为相对寻址的无条件转移指令,指令中的形式地址为40H。那么取指令后及指令执行后PC内容为()。

A. 2000H,2042H

B. 2002H,2040H

C. 2002H,2042H

D. 2000H,2040H

6. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为______。

A. 隐含寻址

B. 立即寻址

C. 寄存器寻址

D. 直接寻址

7.下列关于RISC说法中,错误的是()。

A. RISC普遍采用微程序控制器

B. RISC大多数指令在一个时钟周期内完成

C. RISC的内部通用寄存器数量相对CISC多

D. RISC的指令数、寻址方式和指令合适种类相对于CISC少

8.假设寄存器R中的数值为200,主存地址为200和300的地址单元中存放的内容分别为300和400,则()方式下访问到的操作数为200。

A. 直接寻址200

B. 寄存器间接寻址(R)

C. 存储器间接寻址(200)

D. 寄存器寻址R

9.指令格式是指令用A.______表示的结构形式,通常格式中由操作码字段和B.______字段组成。

10.条件转移、无条件转移、转子程序、返主程序、中断返回指令都属于A.______类指令,

11.这类指令在指令格式中所表示的地址不是B.______的地址,而是C.______的地址。RISC机器一定是A._______CPU,但后者不一定是RISC机器,奔腾机属于B.______机器。12. 堆栈是一种特殊的A.______寻址方式,它采用B.______原理。按构造不同,分为寄存器堆栈和C.______堆栈。

13.若机器字长36位,采用三地址格式访存指令,共完成54种操作,操作数可在1K地址范围内寻找,画出该机器的指令格式。

14.用16k×8位的SRAM芯片构成64K×16位的存储器,要求画出该存储器的组成逻辑框图。

15.指令格式结构如下所示,试分析指令格式特点。

15 12 11 9 8 6 5 3 2 0

16.若机器字长36位,采用三地址格式访存指令,共完成54种操作,操作数可在1K地址范围内寻找,画出该机器的指令格式。

第五章中央处理器

1.为了便于实现多级中断,保存现场信息最有效的方式是采用______。

A. 通用寄存器

B. 堆栈

C. 存储器

D. 外存

2. 描述流水CPU基本概念中,正确表述的句子是______。

A. 流水CPU是以空间并行性为原理构造的处理器

B. 流水CPU一定是RISC机器

C. 流水CPU一定是多媒体CPU

D. 流水CPU是一种非常经济而实用的时间并行技术

3. 由于CPU内部的操作速度较快,而CPU访问一次主存所花的时间较长,因此机器周期通常用______来规定。

A.主存中读取一个指令字的最短时间 B.主存中读取一个数据字的最长时间

C.主存中写入一个数据字的平均时间 D.主存中取一个数据字的平均时间

4. 微程序控制器中,机器指令与微指令的关系是______。

A.每一条机器指令由一般微指令编成的微程序来解释执行

B.每一条机器指令由一条微指令来执行

C.一段机器指令组成的程序可由一条微指令来执行

D.一条微指令由若干条机器指令组成

5. 指令周期是指______。

A.CPU从主存取出一条指令的时间 B.CPU执行一条指令的时间

C.CPU从主存取出一条指令加上执行这条指令的时间 D.时钟周期时间

6. 中断向量地址是______。

A.子程序入口地址 B.中断服务例行程序入口地址

C.中断服务例行程序入口地址的指示器 D.中断返回地址

7. CPU主要包括______。

A.控制器

B.控制器、运算器、cache

C.运算器和主存

D.控制器、ALU和主存

1. 下列寄存器中,汇编语言程序员可见的是()

A.存储器地址寄存器(MAR)

B.程序计数器(PC)

C.存储区数据寄存器(MDR)

D.指令寄存器(IR)

5. 在一条无条件跳转指令的指令周期内,PC的值被修改()次

A.1

B.2

C.3

D.无法确定

7.以下关于计算机系统中的概念,正确的是()。

Ⅰ.CPU中不包含地址译码器

Ⅱ.CPU中程序计数器中存放的是操作数地址

Ⅲ.CPU中决定指令执行顺序的是程序计数器

Ⅳ.在CPU中状态寄存器对用户是完全透明的

A. Ⅰ、Ⅲ

B. Ⅲ、Ⅳ

C. Ⅱ、Ⅲ、Ⅳ

D. Ⅰ、Ⅲ、Ⅳ

8.计算机工作的最小时间周期是()。

A. 时钟周期

B. 指令周期

C. CPU周期

D. 工作脉冲

9.由于CPU内部操作速度较快,而CPU访问一次存储器的时间较长,因此机器周期通常由()来确定。

A.指令周期

B.存取周期

C.间址周期

D.中断周期

10. 计算机的执行速度与()有关。

A.主频

B.主频、平均机器周期

C.主频、平均机器周期和平均指令周期

D.都不对

11.硬布线控制器与微程序控制器相比()。

A. 硬布线控制器的时序系统比较简单

B. 微程序控制器的时序系统比较简单

C. 两者的时序系统复杂程度相同

D. 可能是硬布线控制器的时序系统比较简单,也可能是微程序控制器的时序系统比较简单

12. 微程序控制器中,控制部件向执行部件发出的某个控制信号称()

A.微程序

B.微指令

C.微操作

D.微命令

13.下列描述流水CPU基本概念正确的句子是()。

A. 流水CPU是以空间并行性为原理构造的处理器

B. 流水CPU一定是RISC机器

C. 流水CPU一定是多媒体CPU

D. 流水CPU是一种非常经济而实用的时间并行技术

14.某计算机的指令流水线由四个功能段组成,指令流经各功能段的时间(忽略各功能段之间的缓存时间)分别是90ns、80ns、70ns和60ns,则该计算机的CPU时钟周期至少是()。

A.90ns B.80ns C.70ns D.60ns

15.说明指令周期、机器周期、时钟周期之间的关系。

16.CPU结构如图所示,其中一个累加寄存器AC,一个状态条件寄存器和其它四个寄存器,各部分之间的连线表示数据通路,箭头表示信息传送方向。

(1) 标明图中四个寄存器的名称。

(2) 简述指令从主存取到控制器的数据通路。

(3) 数据在运算器和主存之间进行存/取访问的数据通路。

17.举出三种中断向量产生的方法。

18.用时空图法证明流水CPU比非流水CPU具有更高的吞吐率。

19.指令和数据均存放在内存中,CPU如何从时间和空间上区分它们是指令还是数据?

20.CPU响应中断应具备哪些条件?

第六章总线

1. 同步控制是______。

A.只适用于CPU控制的方式 B.只适用于外围设备控制的方式

C.由统一时序信号控制的方式 D.所有指令控制时间都相同的方式

2. 异步控制常用于______作为其主要控制方式。

A.在单总线结构计算机中访问主存与外围设备时 B.微型机的CPU控制中

C.组合逻辑控制的CPU中 D.微程序控制器中

3. 从信息流的传送效率来看,______工作效率最低。

A.三总线系统 B.单总线系统 C.双总线系统 D.多总线系统

4. 系统总线中地址线的功能是______。

A. 用于选择主存单元地址

B. 用于选择进行信息传输的设备

C. 用于选择外存地址

D. 用于指定主存和I/O设备接口电路的地址

5. 多总线结构的计算机系统采用______方法,对提高系统的吞吐率最有效。

A.多口存储器 B.提高主存的速度

C.交叉编址多模存储器 D.高速缓冲存储器

6.在总线上,同一时刻()。

A. 只能有一个主设备控制总线传输操作

B. 只能有一个从设备控制总线传输操作

C. 只能有一个主设备和一个从设备控制总线传输操作

D. 可能有多个主设备控制总线传输操作

7.系统总线是用来连接()。

A.寄存器和运算器部件

B. 运算器和控制器部件

C.CPU、主存和外部设备

D.接口和外部设备

8.在某计算机系统中,各个主设备得到总线使用权的机会基本相等,则该系统采用的总线判优控制方式可能是()。

Ⅰ.链路查询方式Ⅱ.计数器定时查询方式Ⅲ.独立请求方式

A. 只能Ⅰ,其余都不可能

B. Ⅱ和Ⅲ都有可能,Ⅰ不可能

C. 只能Ⅱ,其余都不可能

D. Ⅰ、Ⅱ、Ⅲ都有可能

9.下列选项中的英文缩写均为总线标准的是()

A. PCI、CRT、USB、EISA

B. ISA、CPI、VESA、EISA

C. ISA、SCSI、RAM、MIPS

D. ISA、EISA、PCI、PCI-Express

10.下列总线标准中是串行总线的是()

A.PCI

https://www.doczj.com/doc/bc14235645.html,B

C.EISA

D.ISA

11.下列不属于计算机局部总线的是()。

A. VESA

B. PCI

C. AGP

D. ISA

12.)下列关于USB总线特征的描述中,错误的是(

A. 可实现外设的即插即用和热插拔

B. 可通过级联方式连接多级外设

C. 是一种通信总线,可连接不同外设

D. 同时可传输2位数据,数据传输率高

13. 为了解决多个A.______同时竞争总线B.______,必须具有C.______部件。

14.总线的一次信息传送过程大致分哪几个阶段?若采用同步定时协议,画出读数据的同步时序图。

15.说明总线结构对计算机系统性能的影响。

16.某总线在一个总线周期中并行传送4个字节的数据,假设一个总线周期等于一个时钟周期,总线时钟频率为33MHz,求总线带宽是多少?

17.何谓“总线仲裁”?一般采用何种策略进行仲裁,简要说明它们的应用环境。

18.试画出三总线系统的结构图。

第七章输入/输出系统

1.在集中式总线仲裁中,______方式对电路故障最敏感。

A. 链式查询

B. 计数器定时查询

C. 独立请求

2.周期挪用方式多用于______方式的输入输出中。

A. DMA

B. 中断

C. 程序传送

D. 通道

3.. CRT的颜色数为256色,则刷新存储器每个单元的字长是______。

A.256位 B.16位 C.8位 D.7位

4. 当采用______对设备进行编址情况下,不需要专门的I/O指令组。

A.统一编址法 B.单独编址法 C.两者都是 D.两者都不是

5. 计算机的外围设备是指______。

A.输入/输出设备 B.外存储器 C.远程通信设备

D.除了CPU和内存以外的其它设备

6. CRT的分辨率为1024×1024像素,像素颜色数为256,则刷新存储器的容量是______。

A.512KB B.1MB C.256KB D.2MB

7. 下述I/O控制方式中,主要由程序实现的是______。

A. PPU(外围处理机)方式

B. 中断方式

C. DMA方式

D. 通道方式

8. 采用DMA方式传送数据时,每传送一个数据要占用______的时间。

A. 一个指令周期

B. 一个机器周期

C. 一个时钟周期

D. 一个存储周期

9. PCI总线采用A.______仲裁方式,每一个PCI设备都有独立的总线请求和总线授权两条信号线与B.______相连。

10. 直接内存访问(DMA)方式中,DMA控制器从CPU完全接管对A.______的控制,数据交换不经过CPU,而直接在内存和B.______之间进行。

11、说明程序查询方式与中断方式各自的特点

12.什么是刷新存储器?其存储容量与什么因素有关?

13.外围设备的I/O控制方式分哪几类?各具什么特点?

14.某刷新存储器所需的带宽为160MB/S。实际工作时,显示适配器的几个功能部分要争用刷存的带宽。假定总带宽的50%用于刷新屏幕,保留50%带宽用于其他非刷新功能。问刷存总带宽应为多少?为达到这样的刷存带宽,应采取何种技术措施?

15. 何谓CRT的显示分辨率、灰度级?

计算机组成原理课程设计(微程序)报告

微程序控制器的设计与实现

目录 1设计目的 (3) 2设计内容 (3) 3具体要求 (3) 4设计方案 (3) 5 调试过程 (11) 6 心得体会 (12)

微程序控制器的设计与实现 一、设计目的 1)巩固和深刻理解“计算机组成原理”课程所讲解的原 理,加深对计算机各模块协同工作的认识 2)掌握微程序设计的思想和具体流程、操作方法。 3)培养学生独立工作和创新思维的能力,取得设计与调 试的实践经验。 4)尝试利用编程实现微程序指令的识别和解释的工作 流程 二、设计内容 按照要求设计一指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。 三、设计要求 1)仔细复习所学过的理论知识,掌握微程序设计的思 想,并根据掌握的理论写出要设计的指令系统的微程 序流程。指令系统至少要包括六条指令,具有上述功 能和寻址方式。 2)根据微操作流程及给定的微指令格式写出相应的微 程序 3)将所设计的微程序在虚拟环境中运行调试程序,并给 出测试思路和具体程序段 4)尝试用C或者Java语言实现所设计的指令系统的加 载、识别和解释功能。 5)撰写课程设计报告。 四、设计方案 1)设计思路 按照要求设计指令系统,该指令系统能够实现数据传送,进行加、减运算和无条件转移,具有累加器寻址、寄存器寻

址、寄存器间接寻址、存储器直接寻址、立即数寻址等五种寻址方式。从而可以想到如下指令:24位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。 EMWR:程序存储器EM写信号。 EMRD:程序存储器EM读信号。 PCOE:将程序计数器PC的值送到地址总线ABUS上。 EMEN:将程序存储器EM与数据总线DBUS接通,由EMWR 和EMRD决定是将DBUS数据写到EM中,还是 从EM读出数据送到DBUS。 IREN:将程序存储器EM读出的数据打入指令寄存器IR 和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP: PC打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR的值送到地址总线ABUS上。 OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT 里。 STEN:将数据总线DBUS上数据存入堆栈寄存器ST中。 RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 CN:决定运算器是否带进位移位,CN=1带进位,CN=0不带进位。 FEN:将标志位存入ALU内部的标志寄存器。 X2:X1:X0: X2、X1、X0三位组合来译码选择将数据送到DBUS上的寄存器。具体如下: X2 X1 X0 输出寄存器 0 0 0 IN_OE 外部输入门 0 0 1 IA_OE 中断向量 0 1 0 ST_OE 堆栈寄存器 0 1 1 PC_OE PC寄存器

计算机组成原理考试题库

计算机原理考试题库 一、选择题 1、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 2、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 3、完整的计算机系统应包括D。 A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机存储数据的基本单位为A。 A、比特Bit B、字节Byte C、字组Word D、以上都不对 5、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 6、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 7、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 8、用以指定待执行指令所在地址的是C。 A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 9、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 10、电子计算机的算术/逻辑单元、控制单元及主存储器合称为C。 A、CPU B、ALU C、主机 D、UP 11、计算机中有关ALU的描述,D是正确的。 A、只做算术运算,不做逻辑运算 B、只做加法 C、能存放运算结果 D、以上答案都不对 12、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 13、下列语句中是C正确的。 A、1KB=1024 1024B B、1KB=1024MB C、1MB=1024 1024B D、1MB=1024B 14、计算机系统中的存储系统是指D。 A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 15、下列D属于应用软件。 A、操作系统 B、编译程序 C、连接程序 D、文本处理 16、存放欲执行指令的寄存器是D。 A、MAE B、PC C、MDR D、IR 17、用以指定待执行指令所在地址的是C。

《操作系统原理》课程设计--银行家算法程序设计

信息与计算科学 操作系统原理 课程设计报告 题目:银行家算法程序设计 班级: 姓名: 专业:

银行家算法程序设计 目录 1.绪论 (2) 2.需求分析 (2) 2.1功能需求 (2) 2.2数据需求 (2) 3. 总体设计 (2) 3.1功能模块设 (2) 3.2系统设计方案 (3) 3.3开发工具 (4) 4. 详细设计 (4) 4.1银行家算法中的数据结构 (4) 4.2银行家算法 (5) 4.3安全性算法 (6) 5. 调试与测试 (8) 6. 结论 (8) 结束语 (8) 参考文献 (9) 附录1-用户手册 (10) 附录2-源程序清单 (11)

1.绪论 20世纪末,随着计算机科学的发展,C语言的应用越来越广泛,很多程序都需要使用C语言来编写。C语言使用方便快捷,它已经成为计算机编程中不可缺少的一部分,而且它也被用于各个方面。例如:政府部门,银行,学校等等。 银行家算法是判断系统是否安全,并且允许其它进程来申请这里的资源,任何一个进程来申请资源时,必须先登记该进程对资源的申请要求然后由系统检查当前资源的状况,并用银行家算法和安全性算法来检查是否允许分配资源给进程。通过课程设计,加深我们对利用银行家算法避免死锁的理解。在设计中主要的难点是用语言编写银行家算法和安全性算法,使系统资源分配能安全进行,避免系统死锁。 2.需求分析 2.1 功能需求 1.添加进程的可用资源,最大资源,已分配资源; 2.判断系统是否安全; 3.申请资源; 4.申请资源后如何分配; 5.进行安全检查。 2.2 数据需求 主要数据包括:可用资源,最大资源,已分配资源,申请资源数。 3. 总体设计 3.1 功能模块设

计算机组成原理课程设计报告

计算机组成原理课程设计报告 课程设计题目:计算机组成原理 专业名称:计算机科学与技术班级: 2013240202 关童:201324020217 张一轮:201324020218 孙吉阳:201324020219 张旭:201324020220 老师姓名:单博炜 2015年12月31日

第一章课程设计概述 1.1 课程设计的教学目的 本课程设计的教学目的是在掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握数据信息流和控制信息流的流动过程,进一步加深计算机系统各模块间相互关系的认识 无条件转移),其指令格式如表1(前4位是操作码): 表1: IN为单字长(8位),含义是将数据开关8位数据输入到R0寄存器;ADD为双字长指令,第一字为操作码,第二字为操作数地址,其含义是将R0寄存器的内容与内存中以A为地址单元的数相加,结果放在

R0;STA为双字长指令,含义是将R0中的内容存储到以第二字A为地址内存单元中;OUT为双字长指令,含义是将内存中以第二字为地址的数据读到数据总线上,由数码管进行显示;JMP是双字长指令,执行该指令时,程序无条件转移到第二字所指定的内存单元地址。 为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还设计了三个控制台操作微程序:存储器读操作”(KRD):拨动总清开关CLR后,当控制台开关SWB、SWA置为“00”时,按START 微动开关,可对RAM进行连续手动读操作;存储器写操作(KWE):拨动总清开关CLR后,当控制台开关SWB、SWA置为“01”时,按START微动开关,可对RAM进行连续手动写入;启动程序:拨动总清开关CLR后,当控制台开关SWB、SWA置为“11”时,按START微动开关,即可转入第01号“取指”微指令,启动程序运行。这三条控制台指令用两个开关SWB、SWA的状态来设置,其定义如表2:表2: C字段: 按照数据通路可画出机器指令的微程序流程图如图2所示,当拟定“取值”微指令时,该微指令的判

计算机组成原理试题及答案

A .(7CD )16 B. ( 7D0)16 C. (7E0)16 D. 3. 下列数中最大的数是 _______ 。 A .(10011001) 2 B. (227) 8 C. (98)16 4. ____ 表示法主要用于表示浮点数中的阶码。 A. 原码 B. 补码 C. 反码 D. 移码 5. 在小型或微型计算机里,普遍采用的字符编码是 A. BCD 码 B. 16 进制 C. 格雷码 6. 下列有关运算器的描述中, ______ 是正确的 A. 只做算术运算,不做逻辑运算 B. C. 能暂时存放运算结果 D. 7. EPROM 是指 ____ 。 A. 读写存储器 B. C. 可编程的只读存储器 D. 8. Intel80486 是 32位微处理器, Pentium 是A.16 B.32 C.48 D.64 9 .设]X ]补=1.XXX 3X 4,当满足 _________ ■寸,X > -1/2 成立。 A. X 1必须为1,X 2X 3X 4至少有一个为1 B. X 1必须为1 , X 2X 3X 4任意 C. X 1必须为0, X 2X 3X 4至少有一个为1 D. X 1必须为0, X 2X 3X 4任意 10. CPU 主要包括 _____ 。 A.控制器 B. 控制器、运算器、cache C.运算器和主存 D.控制器、ALU 和主存 11. 信息只用一条传输线 ,且采用脉冲传输的方式称为 _________ 。 A. 串行传输 B. 并行传输 C. 并串行传输 D. 分时传输 12. 以下四种类型指令中,执行时间最长的是 _________ 。 A. RR 型 B. RS 型 C. SS 型 D. 程序控制指令 13. 下列 _____ 属于应用软件。 A. 操作系统 B. 编译系统 C. 连接程序 D. 文本处理 14. 在主存和CPU 之间增加cache 存储器的目的是 _____ 。 A. 增加内存容量 B. 提高内存可靠性 C.解决CPU 和主存之间的速度匹配问题 D. 增加内存容量,同时加快存取速 度 15. 某单片机的系统程序,不允许用户在执行时改变,则可以选用 ____________ 作为存储芯 片。 A. SRAM B. 闪速存储器 C. cache D. 辅助存储器 16. 设变址寄存器为X ,形式地址为D, (X )表示寄存器X 的内容,这种寻址方式的有 效地址为 ______ 。 A. EA=(X)+D B. EA=(X)+(D) C.EA=((X)+D) D. EA=((X)+(D)) 17. 在指令的地址字段中,直接指出操作数本身的寻址方式,称为 ___________ 。 A. 隐含寻址 B. 立即寻址 C. 寄存器寻址 D. 直接寻址 18. 下述 I/O 控制方式中,主要由程序实现的是 ________ 。 7F0)16 D. ( 152)10 o D. ASC H 码 只做加法 既做算术运算,又做逻辑运算 只读存储器 光擦除可编程的只读存储器 位微处理器。

计算机操作系统原理课程设计

上海电力学院 课程设计报告 课程名称:操作系统原理 题目名称:采用可变分区存储管理,模拟主存空间的分配和回收 姓名: xxx 学号: xxx 班级: 2013054 同组姓名: xxx 课程设计时间: 2015.7.6~2015.7.10 评语: 成绩:

课程设计题目 一、设计内容及要求 可变分区存储管理模拟 设计内容:编写程序模拟实现可变分区存储管理。 具体要求: 编写程序模拟实现可变分区存储管理,实现存储管理的基本功能,包括内存的分配、内存的回收、地址变换等。 输入:1、输入新进程名称及使用内存的大小(可创建多个进程); 2、撤销某个指定的进程; 3、某个进程的逻辑地址; 输出:显示每次创建进程或者撤销进程后内存使用的状况,包括每一个进程占据的内存的位置和大小; 计算并输出给定逻辑地址对应的物理地址。 必须分别使用以下分配算法完成模拟: 1、首次适应算法; 2、最佳适应算法; 3、最差适应算法; 小组分工: 程序设计讨论: 程序主体设计: 程序调试及修改: 实验报告设计: 总结: (要求注明小组分工情况) 二、详细设计 1)原理概述 对于可变分区存储管理的内存分配与回收,主要为设计以下几个部分: 1、设计动态输入空闲分区表的程序 2、设计内存分配的程序 3、设计内存回收的程序 首次适应算法: FF算法要求空闲分区表或空闲分区链以地址递增的次序链接。在分配内时,从链首开始查找,直至找到一个大小能满足要求分区为止;然后再按照作业大小,从该分区中划一块内存空间分配给请求者,余下的空闲分区仍留在空闲链中。如从链首直至链尾都不能找到一个能满足要求的分区,则此次分配失败,返回 最佳适应算法: BF算法是指每次为作业分配内存,总是把满足要求、又是最小的空闲分区分配给作业,避免“大材小用”。为了加速寻找,该算法要求所有的空闲分区按其容量以从小到大的顺序形成一空闲分区链。这样,第一次找到能满足要求的空闲区,

组成原理课程设计跑马灯

信息与电气工程学院 《计算机组成原理》课程设计报告

一、课程设计的目的 《计算机组成原理》课程设计是与课程配套开设的实践环节。通过本课程设计,使学生进一步的理解计算机组成原理课程讲授的相关内容,包括计算机的各大部件及工作原理,计算机对机器语言的支持和理解方法,计算机整机工作原理和控制方法,以及CU设计的基本方法等等,进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;锻炼计算机硬件的设计能力、调试能力;培养严谨的科学实验作风、良好的工程素质及团队协作精神,为今后的工作打下基础。 二、课程设计的内容 基于DAIS-CMH+实验台,设计并实现一个能够支持5至10条机器指令的微程序结构CU,并利用该指令系统的指令编写机器指令程序,通过调试观察模型机执行机器指令程序的过程和结构,验证CU设计的正确性。 三、课程设计的要求 (1)认真阅读模型机设计说明,了解设计内容,做好设计准备。 (2)完成模型机的硬件电路连接,绘制硬件结构框图。 (3)完成指令的微操作序列分析,画出微程序流程图,根据微指令格式,填写码点,编写微程序,完成微程序结构的CU设计。 (4)设计并编写机器指令测试程序。 (5)能够熟练的运用调试方法,修正微程序设计中存在的问题,验证机器指令执行的正确性。 (6)根据设计的实施过程,认真完成课程设计报告。 四、模型机设计总结 4.1 硬件结构框图与主要硬件模块说明

图1 实验台硬件布局图 ①缓冲输入模块: 控制信号 SW-B,控制输入信号从开关部件输入到总线。 注意:总线没有锁存能力,如果该信号关闭,则数据立刻丢失,总线上数据变为FFH。 ②地址总线模块:控制信号LDAR,控制数据(地址信号)从总线打入地址寄存器(AR)。 ③内存模块:控制信号 WR,内存的读/写控制信号,配合控制信号CE,内存的片选信号,对内存进行读/写操作。CE = 1,WR = 1,进行内存写操作;CE = 1, WR = 0, 进行内存读操作。 ④锁存输出模块:控制信号 LDED,控制数据从总线打入输出模块的锁存器,通过LED灯进行显示。 ⑤寄存器组:两组控制信号,控制数据从总线输入Ri的控制信号- LDR0,LDR1和LDR2;控制数据从寄存器Ri送到总线上的控制信号R0-B,R1-B,R2-B。 ⑥数据总线模块,这个模块没有控制信号,就是个显示模块,显示当前总线上的数据情况。

计算机组成原理课程设计报告63979

课程设计报告 课程名称:计算机组成原理 题目名称:复杂模型机的设计与实现 专业名称:计算机科学 18 学生姓名:李佩霖 同组人:聂铭 指导老师:单博炜 完成时间:2014年12月29日至2014年12月31日 目录 第一章课程设计概述 1.1课程设计的教学目的 1.2课程设计任务和基本要求 第二章规定项目的实验验证 2.1任务分析以及解决方案 2.2设计原理 第三章指定应用项目的设计实现

第四章收获和体会 第一章课程设计概述 1.1 课程设计的教学目的 综合运用所学计算机组成原理知识,设计并实现较为完整的计算机。 1.2 课程设计任务和基本要求 在模型机上实现如下运算:从IN单元读入一个数据,根据读入数据的低四位值X,求对应X值的1+2+3+···的整数序列的累加和,X为序列的长度。 要求使用实验机上的复杂模型机设计实验上的数据格式和指令格式、数据通路、微程序流程图设计微程序,并通过手动和联机输入完成实验验证。 第二章规定项目的实验验证 2.1任务分析以及解决方案 考虑到实验任务为计算数的序列的累加和,所以实验过程应该为: 1.学习并掌握微指令的结构以及运算方式。 2根据实验机数据通路的原理框图在实验机上连接线路。 3手动和联机向实验机打入微程序,运行并验证。 2.2设计原理 如图1为模型机数据通路原理框图,图2为微程序流程图。 图1 图2 关于数据格式,模型机规定采用定点补码表示法表示数据,数据字长为8位,8位全部用来表示数据。 关于指令格式,模型机设计3大指令共15条,其中包括运算类指令、控制转移类指令、数据传送类指令。运算类指令包含3种,算术运算、逻辑运算、一位运算,设计有6条运算类指令,分别为:ADD、AND、INC、SUB、OR、RR,所有运算类指令均为单字节指令,寻址方式采用寄存器直接寻址。控制转移类指令有3条,分别为:HLT、JMP、BZC。数据传送类指令有:IN、OUT、MOV、LDI、LAD、STA。

(完整版)计算机组成原理(白中英)本科生试题库整理附答案

一、选择题 1从器件角度看,计算机经历了五代变化。但从系统结构看,至今绝大多数计算机仍属于(B)计算机。 A 并行 B 冯·诺依曼 C 智能 D 串行 2某机字长32位,其中1位表示符号位。若用定点整数表示,则最小负整数为(A)。 A -(231-1) B -(230-1) C -(231+1) D -(230+1) 3以下有关运算器的描述,( C )是正确的。 A 只做加法运算 B 只做算术运算 C 算术运算与逻辑运算 D 只做逻辑运算 4 EEPROM是指(D ) A 读写存储器 B 只读存储器 C 闪速存储器 D 电擦除可编程只读存储器 5常用的虚拟存储系统由(B )两级存储器组成,其中辅存是大容量的磁表面存储器。 A cache-主存 B 主存-辅存 C cache-辅存 D 通用寄存器-cache 6 RISC访内指令中,操作数的物理位置一般安排在(D ) A 栈顶和次栈顶 B 两个主存单元 C 一个主存单元和一个通用 寄存器 D 两个通用寄存器 7当前的CPU由(B )组成。 A 控制器 B 控制器、运算器、cache C 运算器、主存 D 控制器、ALU、主存 8流水CPU是由一系列叫做“段”的处理部件组成。和具备m个并行部件的CPU相比,一个m段流水CPU的吞吐能力是(A )。 A 具备同等水平 B 不具备同等水平 C 小于前者 D 大于前者 9在集中式总线仲裁中,(A )方式响应时间最快。 A 独立请求 B 计数器定时查询 C 菊花链 D 分布式仲裁 10 CPU中跟踪指令后继地址的寄存器是(C )。 A 地址寄存器 B 指令计数器 C 程序计数器 D 指令寄存器 11从信息流的传输速度来看,(A )系统工作效率最低。 A 单总线 B 双总线 C 三总线 D 多总线 12单级中断系统中,CPU一旦响应中断,立即关闭(C )标志,以防止本次中断服务结束前同级的其他中断源产生另一次中断进行干扰。 A 中断允许 B 中断请求 C 中断屏蔽 D DMA请求 13下面操作中应该由特权指令完成的是(B )。 A 设置定时器的初值 B 从用户模式切换到管理员 模式 C 开定时器中断 D 关中断 14冯·诺依曼机工作的基本方式的特点是(B )。 A 多指令流单数据流 B 按地址访问并顺序执行指令 C 堆栈操作 D 存贮器按内容选择地址 15在机器数(B )中,零的表示形式是唯一的。 A 原码 B 补码 C 移码 D 反码 16在定点二进制运算器中,减法运算一般通过( D )来实现。 A 原码运算的二进制减法 器 B 补码运算的二进制减法器 C 原码运算的十进制加法器 D 补码运算的二进制加法器17某计算机字长32位,其存储容量为256MB,若按单字编址,它的寻址范围是( D )。 A 0—64M B B 0—32MB C 0—32M D 0—64M 18主存贮器和CPU之间增加cache的目的是(A )。 A 解决CPU和主存之间的 速度匹配问题B 扩大主存贮器容量 C 扩大CPU中通用寄存器的 数量 D 既扩大主存贮器容量,又扩 大CPU中通用寄存器的数 量 19单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用( C )。 A 堆栈寻址方式 B 立即寻址方式 C 隐含寻址方式 D 间接寻址方式20同步控制是( C )。 A 只适用于CPU控制的方 式B 只适用于外围设备控制的 方式 C 由统一时序信号控制的方 式 D 所有指令执行时间都相同 的方式 21描述PCI总线中基本概念不正确的句子是(CD )。 A PCI总线是一个与处理 B PCI总线的基本传输机制 C PCI设备一定是主设备 D 系统中只允许有一条PCI

操作系统课程设计

计算机科学技术学院 操作系统原理课程设计报告 题目:进程管理系统 专业: 班级: 姓名: 学号: 指导老师: 年月日

《操作系统原理》课程设计任务书 一、课程设计题目(任选一个题目) 1.模拟进程管理 2.模拟处理机调度 3.模拟存储器管理 4.模拟文件系统 5.模拟磁盘调度 二、设计目的和要求 1.设计目的 《操作系统原理》课程设计是网络工程专业实践性环节之一,是学习完《操作系统原理》课程后进行的一次较全面的综合练习。其目的在于加深对操作系统的理论、方法和基础知识的理解,掌握操作系统结构、实现机理和各种典型算法,系统地了解操作系统的设计和实现思路,培养学生的系统设计能力,并了解操作系统的发展动向和趋势。 2.基本要求: (1)选择课程设计题目中的一个课题,独立完成。 (2)良好的沟通和合作能力 (3)充分运用前序课所学的软件工程、程序设计、数据结构等相关知识 (4)充分运用调试和排错技术 (5)简单测试驱动模块和桩模块的编写 (6)查阅相关资料,自学具体课题中涉及到的新知识。 (7)课题完成后必须按要求提交课程设计报告,格式规范,内容详实。 三、设计内容及步骤 1.根据设计题目的要求,充分地分析和理解问题,明确问题要求做什么。

2.根据实现的功能,划分出合理的模块,明确模块间的关系。 3.编程实现所设计的模块。 4.程序调试与测试。采用自底向上,分模块进行,即先调试低层函数。能够熟练掌握调试工具的各种功能,设计测试数据确定疑点,通过修改程序来证实它或绕过它。调试正确后,认真整理源程序及其注释,形成格式和风格良好的源程序清单和结果; 5.结果分析。程序运行结果包括正确的输入及其输出结果和含有错误的输入及其输出结果。 6.编写课程设计报告; 设计报告要求:A4纸,详细设计部分主要叙述本人的工作内容 设计报告的格式: (1)封面(题目、指导教师、专业、班级、姓名、学号) (2)设计任务书 (3)目录 (4)需求分析 (5)概要设计 (6)详细设计(含主要代码) (7)调试分析、测试结果 (8)用户使用说明 (9)附录或参考资料 四、进度安排 设计在学期的第15、16周进行,时间安排如下:

计算机组成原理课程设计报告完整版

计算机组成原理课程设计报告 班级:06计算机 6 班姓名:李凯学号:20063007 完成时间:2009年1月3日 一、课程设计目的 1.在实验机上设计实现机器指令及对应的微指令(微程序)并验证,从而进一步掌握微程序设计控制器的基本方法并了解指令系统与硬件结构的对应关系; 2.通过控制器的微程序设计,综合理解计算机组成原理课程的核心知识并进一步建立整机系统的概念; 3.培养综合实践及独立分析、解决问题的能力。 二、课程设计的任务 针对COP2000实验仪,从详细了解该模型机的指令/微指令系统入手,以实现乘法和除法运算功能为应用目标,在COP2000的集成开发环境下,设计全新的指令系统并编写对应的微程序;之后编写实现乘法和除法的程序进行设计的验证。 三、课程设计使用的设备(环境) 1.硬件 ●COP2000实验仪 ●PC机 2.软件 ●COP2000仿真软件 四、课程设计的具体内容(步骤) 1.详细了解并掌握COP 2000模型机的微程序控制器原理,通过综合实验来实现该模型机指令系统的特点: COP2000模型机包括了一个标准CPU所具备所有部件,这些部件包括:运算器ALU、

累加器A、工作寄存器W、左移门L、直通门D、右移门R、寄存器组R0-R3、程序计数器PC、地址寄存器MAR、堆栈寄存器ST、中断向量寄存器IA、输入端口IN、输出端口寄存器OUT、程序存储器EM、指令寄存器IR、微程序计数器uPC、微程序存储器uM,以及中断控制电路、跳转控制电路。其中运算器和中断控制电路以及跳转控制电路用CPLD来实现,其它电路都是用离散的数字电路组成。微程序控制部分也可以用组合逻辑控制来代替。 模型机为8位机,数据总线、地址总线都为8位,但其工作原理与16位机相同。相比而言8位机实验减少了烦琐的连线,但其原理却更容易被学生理解、吸收。 模型机的指令码为8位,根据指令类型的不同,可以有0到2个操作数。指令码的最低两位用来选择R0-R3寄存器,在微程序控制方式中,用指令码做为微地址来寻址微程序存储器,找到执行该指令的微程序。而在组合逻辑控制方式中,按时序用指令码产生相应的控制位。在本模型机中,一条指令最多分四个状态周期,一个状态周期为一个时钟脉冲,每个状态周期产生不同的控制逻辑,实现模型机的各种功能。模型机有24位控制位以控制寄存器的输入、输出,选择运算器的运算功能,存储器的读写。24位控制位分别介绍如下: XRD :外部设备读信号,当给出了外设的地址后,输出此信号,从指定外设读数据。 EMWR:程序存储器EM写信号。 EMRD:程序存储器EM读信号。 PCOE:将程序计数器PC的值送到地址总线ABUS上。 EMEN:将程序存储器EM与数据总线DBUS接通,由EMWR和EMRD决定是将DBUS数据写到EM中,还是从EM读出数据送到DBUS。 IREN:将程序存储器EM读出的数据打入指令寄存器IR和微指令计数器uPC。 EINT:中断返回时清除中断响应和中断请求标志,便于下次中断。 ELP: PC打入允许,与指令寄存器的IR3、IR2位结合,控制程序跳转。 MAREN:将数据总线DBUS上数据打入地址寄存器MAR。 MAROE:将地址寄存器MAR的值送到地址总线ABUS上。 OUTEN:将数据总线DBUS上数据送到输出端口寄存器OUT里。 STEN:将数据总线DBUS上数据存入堆栈寄存器ST中。 RRD:读寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。 RWR:写寄存器组R0-R3,寄存器R?的选择由指令的最低两位决定。

计算机组成原理试题库(含答案)

计算机组成原理试题 一、单项选择题(从下列各题四个备选答案中选出一个正确答案,并将其代号写在题干前面的括号内。) 1.为了缩短指令中某个地址段的位数,有效的方法是采取(C)。 A、立即寻址 B、变址寻址 C、间接寻址 D、寄存器寻址 2.某计算机字长是16位它的存储容量是64KB,按字编址,它们寻址范围是(C)。 A.64K B.32KB C.32K D.16KB 3.某一RAM芯片其容量为512*8位,除电源和接地端外该芯片引线的最少数目是(C)。 A.21 B.17 C.19 D.20 4.指令系统中采用不同寻址方式的目的主要是(C)。 A.实现存储程序和程序控制 B.可以直接访问外存 C.缩短指令长度,扩大寻址空间,提高编程灵活性 D.提供扩展操作码的可能并降低指令译码难度

5.寄存器间接寻址方式中,操作数处在(B)。 A.通用寄存器 B.贮存单元 C.程序计数器 D.堆栈 6.RISC是(A)的简称。 A.精简指令系统计算机 B.大规模集成电路 C.复杂指令计算机 D.超大规模集成电路 7.CPU响应中断的时间是_C_____。 A.中断源提出请求;B.取指周期结束;C.执行周期结束;D.间址周期结束。8.常用的虚拟存储器寻址系统由____A__两级存储器组成。 A.主存-辅存;B.Cache-主存;C.Cache-辅存;D.主存—硬盘。 9.DMA访问主存时,让CPU处于等待状态,等DMA的一批数据访问结束后,CPU再恢复工作,这种情况称作__A____。 A.停止CPU访问主存;B.周期挪用;C.DMA与CPU交替访问;D.DMA。10.浮点数的表示范围和精度取决于__C____。 A.阶码的位数和尾数的机器数形式;B.阶码的机器数形式和尾数的位数;

计算机组成原理课程设计

课程设计报告 课程设计名称:计算机组成原理 系: 学生姓名: 班级: 学号: 成绩: 指导教师: 开课时间:2011-2012学年2 学期

一、设计题目 计算机组成原理课程设计——简单模型机的微程序设计 二、主要内容 通过课程设计更清楚地理解下列基本概念: 1.计算机的硬件基本组成; 2.计算机中机器指令的设计; 3.计算机中机器指令的执行过程; 4.微程序控制器的工作原理。 5.微指令的格式设计原则; 在此基础上设计可以运行一些基本机器指令的微程序的设计 三.具体要求 1.通过使用作者开发的微程序分析和设计仿真软件,熟悉介绍的为基本模型机而设计的微程序的执行过程。必须充分理解并正确解释下些问题: (1)微程序中的微指令的各个字段的作用。哪些字段是不译码的,哪些字段是直接译码的,哪些字段又可以看成是字段间接编码的。 (2)微程序中的微指令是否是顺序执行的,如果不是,那么次地址是如何产生的。什么情况下,次地址字段才是将要执行的微指令的地址。 (3)在微程序中如何根据机器指令中的相关位实现分支,据此, 在设计机器指令时应如何避免和解决与其它指令的微指令的微 地址冲突。 (4)哪些微指令是执行所有指令都要用到的。 (5)解释一条机器指令的微程序的各条微指令的微地址是否连续?这些微指令的微地址的安排的严重原则是什么? (6)为什么读写一次内存总要用两条微指令完成? (7)机器程序中用到的寄存器是R0,是由机器指令中哪些位决定的?如果要用R1或R2,是否要改写微程序或改写机器指令?如果要,应如何改写? 2.在原有5条机器指令的基础上增加实现下述各功能的机器指令,试设计相应的机器指令的格式并改写原来的微程序使其可以运行所有的机器指令。新增加的机器指令的功

组成原理课程设计报告.

《计算机组成原理》 课 程 设 计 报 告 院系名称计算机科学与工程学院 班级 姓名 学号 指导教师

题目一 1. 问题描述 设计一个具有加法和直接寻址方式的模型机 (1)设计内容: 设计一台具有输入、输出、加法、存储和跳转功能的模型计算机,并写出工作程序和测试数据验证有设计的指令系统。 (2)设计要求: 所设计模型计算机的指令系统共包含五条机器指令:IN(输入)、OUT(输出)、ADD (加法)、STA(存数)、JMP(无条件转移)。STA和JMP为直接寻址。 2. 题目分析及设计原理 通过IN单元输入数据送R0寄存器,然后寄存器和自身相加,再将结果保存到存储器并送OUT单元显示出来,最后无条件跳转,又重复执行。 结合数据通路图设计指令。 数据通路图

注意读写逻辑控制信号的控制。读写控制逻辑如下: 3.指令设计及编码 模型机的指令系统及指令格式如下: 助记符机器指令码说明 IN RD,P XXXX XX RD p IN->RD ADD RD,RS,D XXXX RS RD RS+RD->RD STA M D,RD XXXX M RD D R0->E OUT P,RS XXXX RS XX P RS->LED JMP M D XXXX M XX D E->PC 指令格式为: 指令编码为:

;//************Start Of Main Memory Data******// $P 00 20 ;START:IN R0 从IN单元读入数据送R0 $P 01 00 ;ADD R0,RO 和自身相加,结果送 $P 02 10 ;STA 将结果存入主存 $P 03 80 ;OUT R0 输出结果 $P 04 E0 ;JMP START 跳转到00单元 $P 05 00 $P 06 50 ;HLT 停机 ;//************End Of Main Memory Data******// 4.微指令设计及编码 微指令格式 23 22 21 20 10 18-15 14-12 11-9 8-6 5-0 M23 CN WR RD IOM S3-S0 A字段B字段C字段MA5-MA0 微指令数据流程图

操作系统原理课程设计实践报告

操作系统原理课程设计 实践报告 题目: 仿真多进程并发环境中死锁的预防、避免、检测与解除 姓名: 学院: 信息科技学院 专业: 计算机科学技术系 班级: 学号: 指导教师: 职称: 20010年4月8日 仿真多进程并发环境中死锁的预防、避免、检测与解除 摘要:在多道程序系统中,多个程序并发执行时可能造成死锁。所谓死锁是指多

个进程在运行过程中因争夺资源而造成的一种僵局。当进程处于这种僵局状态时若无外力作用,它们都将无法再向前推进,造成资源的浪费。该程序将模拟多进程并发时死锁现象的产生、避免、检测与解除。死锁避免用最著名的银行家算法,用银行家安全性算法类似的死锁检测算法来检测进程状况,又用资源剥夺法来实现死锁的解除。该程序实现操作简易,表示清晰并且形象描述多进程并发环境中死锁的预防、避免、检测与解除。 关键字:死锁;避免死锁;安全状态;银行家算法 引言:在操作系统、数据库系统以及网络通信中,由于进程并发和资源共享,当系统中资源分配顺序或者进程推进顺序不当就会造成系统死锁[1]。处于死锁状态的系统中,进程之间互相等待资源而永远不能继续向前推进,严重地影响了系统的可靠性。因而有时需要合理的对资源进行分配必要的时候加以限制保证系统安全、高效、稳定的运行。 1理论分析 1.1 死锁的概念 如果一个进程集合中的每个进程都在等待只能由此集合中的其他进程才能引发的事件,而无限期陷入僵持的局面称为死锁[2]。 1.2 产生死锁的条件: 1、互斥使用(资源独占):一个资源每次只能给一个进程使用。 2、不可强占(不可剥夺):资源申请者不能强行的从资源占有者手中夺取资 源,资源只能由占有者自愿释放。 3、请求和保持(部分分配,占有申请):一个进程在申请新的资源的同时保 持对原有资源的占有(只有这样才是动态申请,动态分配)。 4、循环等待:存在一个进程等待队列{P1,P2,…,Pn},其中P1等待P2占 有的资源,P2等待P3占有的资源,…,Pn等待P1占有的资源,形成一个进程等待环路[3]。 1.3死锁的预防 在系统设计时确定资源分配算法,保证不发生死锁。具体的做法是破坏产生死锁的四个必要条件之一。 ①破坏“不可剥夺”条件 在允许进程动态申请资源前提下规定,一个进程在申请新的资源不能立即得到满足而变为等待状态之前,必须释放已占有的全部资源,若需要再重新申请。 ②破坏“请求和保持”条件 要求每个进程在运行前必须一次性申请它所要求的所有资源,且仅当该进程所要资源均可满足时才给予一次性分配。 ③破坏“循环等待”条件 采用资源有序分配法:把系统中所有资源编号,进程在申请资源时必须严格按资源编号的递增次序进行,否则操作系统不予分配。

计算机组成原理课程设计

江苏大学计算机组成原理课程设计报告 专业名称:网络工程 班级学号:3130610031 学生姓名:张杰 指导教师:胡广亮 设计时间:2013年6月24日—2013年7月2日

第一天:熟悉微程序的设计和调试方法 一、设计目标 1、掌握微程序的设计方法 2、熟悉利用调试软件运行、调试微程序的方法 二、操作提示 1、连接实验设备 注意:请在断电状态下连接调试电缆。 2、下载FPGA配置数据 从课程网站下载CPU.sof等文件,使用Quartus II Programmer 软件将CPU.sof下载到FPGA。 3、输入微程序 利用调试软件将微程序写入控存,微程序如下。 取指令微程序 取源操作数为立即数的微程序 取目的操作数为寄存器寻址的微程序

MOV指令的微程序(目的数寄存器寻址) 4、输入调机程序 今天的将调机程序就是一条指令:“ MOV #0001H, R1”。首先将指令翻译成机器码,根 据指令的编码规则,该指令的编码是:0761 0001。然后利用调试软件将指令码写入主存,地址从主存的0030H开始。 5、调试微程序。 利用调试软件“Step”按钮控制微指令单步执行,执行结果如图 2.3所示 2.3 例2.1 MOV指令的单步运行跟踪数据 6、分析微程序的执行结果,一般方法如下: 首先分析微程序执行流程是否正确,根据前面指令微流程的设计,理论上该指令微程序 的执行顺序应该是001→002→003→004→00B→00F→016→006→018→007→031→02D→02E→000,通过调试软件的执行结果图 2.3可以看出,该指令微程序的微指令次序是正确的。 如果执行的微指令次序不正确,那就要分析原因,检查BM和NC设置是否正确、检查uAR 的各个输入信号的值是否正确,如IR、NA等。 然后分析指令的运行结果。理论上本条测试指令执行完后,R1的值应该是0001。通过 调试软件的执行结果图 2.3可以看出,指令执行结果是正确的。如果结果不对,就需要进一 步分析每一条微指令。分析的方法是针对微指令的每一条微命令,查看相应微操作前后相关模块的数据变化是否与指令微流程一致。下面举个例子说明如何分析每条微指令的。 图 2.3的微地址为000B(uAR=000B)的控存单元所对应的内容为2008000F,即微指 令为2008000F,所代表的微操作是:PCoe,ARce,即PC的内容送IB、IB的内容送AR,理论上的正确结果应该是IB=0031, AR=0031;通过图 2.3的000B单元微指令的执行结果可 以看出,IB 的数据由上一条微指令的结果0000→0031, AR 的数据由上一条微指令的结果0030→0031,说明本条微指令执行结果是正确。 从上面的分析可以看出,要想检查运行结果是否正确,关键是要清楚理论上正确的结果 是什么。这就要求实验者对指令的微程序流程非常清楚,从而达到了理解计算机内部信息流动过程、掌握计算机工作原理的目的。所以实验者应重视实验数据的分析工作,否则就失去

计算机组成原理课程设计报告

计算机组成原理课程设 计报告 文件编码(008-TTIG-UTITD-GKBTT-PUUTI-WYTUI-8256)

南通大学计算机科学与技术学院计算机组成原理课程设计 报告书 课题名模型计算机的设计与实现 班级计123班 姓名流星雪雨 学号 指导教师顾辉 日期

目录

1 设计目的 1.融会贯通教材各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,加深计算机工作中“时间-空间”概念的理解,从而清晰地建立计算机的整机概念。 2.学习设计和调试计算机的基本步骤和方法,培养科学研究的独立工作能力,取得工程设计和调试的实践和经验。 2 设计内容 1.根据给定的数据格式和指令系统,设计一台微程序控制的模型计算机。 2.根据设计图,在QUARTUS II环境下仿真调试成功。 3.在调试成功的基础上,整理出设计图纸和相关文件,包括: (1)总框图(数据通路图); (2)微程序控制器逻辑图; (3)微程序流程图; (4)微程序代码表; (5)设计说明书及工作小结。 3 设计要求 (1)对指令系统中的各条指令进行分析,得出所需要的占领周期与操作序列,以便确定各器件的类型和数量; (2)设计总框图草图,进行各逻辑部件之间的互相连接,即初步确定数据通路,使得由指令系统所要求的数据通路都能实现,并满足技术指标的要求;

(3)检查全部指令周期的操作序列,确定所需要的控制点和控制信号;(4)检查所设计的数据通路,尽可能降低成本,简化线路,优化性能。以上过程可以反复进行,以便得到一个较好的方案。 4 数据格式与指令系统 数据格式 数据字规定采用定点整数补码表示法,字长8位,其中最高位为符号位,其格式如下: 7 6 5 4 3 2 1 指令系统 本实验设计使用5条机器指令,其格式与功能说明如下: 7 6543210 IN ADD STA OUT JMP IN指令为单字长(字长为8bits)指令,其功能是将数据开关的8位数据输入到R0寄存器。 ADD指令为双字长指令,第一个字为操作码,第二个字为操作数地址,其功能是将R0寄存器的内容与内存中地址为A的数相加,结果存放

计算机组成原理试题库集及答案

计算机组成原理试题库集及答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; 指令和数据以同同等地位存放于存储器内,并可以按地址访问; 指令和数据均用二进制表示; 指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置; 指令在存储器中顺序存放,通常自动顺序取出执行; 机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义:

相关主题
文本预览
相关文档 最新文档