当前位置:文档之家› 北邮微原硬件实验报告

北邮微原硬件实验报告

北邮微原硬件实验报告
北邮微原硬件实验报告

2013年微机原理硬件实验报告

学院:信息与通信工程学院

班级:2011211104

姓名:

实验一 I/O地址译码

一.实验目的

掌握I/O地址译码电路的工作原理。

二.实验原理和内容

1.实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。

译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。

例如:执行下面两条指令

MOV DX,2A0H

OUT DX,AL(或IN AL,DX)

Y4输出一个负脉冲,执行下面两条指令

MOV DX,2A8H

OUT DX,AL(或IN AL,DX)

Y5输出一个负脉冲。

原理:地址2A0H的A5,A4,A5为100,在输入或输出时,IOW或IOR为0,使得74LS138被选中,经过译码,在Y4口输出负脉冲。其他同理。

图1-1

利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。

2.接线: Y4/IO地址接 CLK/D触发器

Y5/IO 地址 接 CD/D 触发器

D/D 触发器 接 SD/D 角发器 接 +5V Q/D 触发器 接 L7(LED 灯)或 逻辑笔

三.程序流程图

四.源程序

DATA SEGMENT DATA ENDS

STACK SEGMENT STACK 'STACK' DB 100H DUP(?) STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

;延时子程序

DELAY PROC NEAR

MOV BX,500

PUSH CX

LOOP2: MOV CX,0FFFH

WAIT: LOOP WAIT

DEC BX

JNZ LOOP2

POP CX

RET

DELAY ENDP

START: MOV CX,0FFFFH

;二极管闪烁部分

LOOP1: MOV DX,2A0H ;灯亮

OUT DX,AL

CALL DELAY

MOV DX,2A8H ;灯灭

OUT DX,AL

CALL DELAY

LOOP LOOP1

CODE ENDS

END START

五.实验结果

LED7正常闪烁显示

六.实验总结

这是我们第一次做微原硬件实验,我开始一直觉得编程很重要,发现按照实验要求很快就编出了程序,完成了实验,但是当给老师验收时,老师问我为什么向2A0口输出一下,就会在Y4产生一个负脉冲,我瞬间就僵住了,的确我没有考虑过这个问题。

后来,经过我对电路图的分析思考,得出了正确的结论,我才明白,硬件才是这个实验的核心,最重要的是要理解硬件是如何工作的,而不是仅仅按照要求编个程序就可以了。

同时,我也十分感谢老师对我们的严格要求!

实验二简单并行接口

一.实验目的

掌握简单并行接口的工作原理及使用方法。

二.实验原理和内容

1.按下面图4-2-1简单并行输出接口电路图连接线路(74LS273插通用插座,74LS32用实验台上的“或门”)。74LS273为八D触发器,8个D输入端分别接数据总线D0~D7,8个Q输出端接LED显示电路L0~L7。

2.编程从键盘输入一个字符或数字,将其ASCⅡ码通过这个输出接口输出,根据8个发光二极管发光情况验证正确性。

3.按下面图4-2-2简单并行输入接口电路图连接电路(74LS244插通用插座,74LS32用实验台上的“或门”)。74LS244为八缓冲器,8个数据输入端分别接逻辑电平开关输出K0~K7,8个数据输出端分别接数据总线D0~D7。

4.用逻辑电平开关预置某个字母的ASCⅡ码,编程输入这个ASCⅡ码,并将其对应字母在屏幕上显示出来。

5.接线:

1)输出

按图4-2-1接线(图中虚线为实验所需接线,74LS32为实验台逻辑或门) 2)输入

按图4-2-2接线(图中虚线为实验所需接线,74LS32为实验台逻辑或门)由于,我的实验台上的芯片是74LS273,因此本实验仅涉及74LS273输出。三.程序流程图

四.源程序

DATA SEGMENT

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK START: MOV AH,1 ;键盘输入

INT 21H

CMP AL,27 ;检测是否为ESC键

JZ EXIT

MOV DX,2A8H ;输出

OUT DX,AL

JMP START

;返回DOS

EXIT: MOV AX,4C00H

INT 21H

CODE ENDS

END START

五.实验结果

键盘输入字符,然后二极管显示键盘输入字符的ASCⅡ码

六.实验总结

本次实验相较上一次实验,顺利很多,因为有了第一次实验的基础,我在编代码之前,先仔细研究课硬件电路图,分析了整个过程中硬件是如何工作的,都会有怎样的反应,而后才编写了程序,并验收通过。

实验三可编程并行接口8255

一.实验目的

通过实验,掌握8255工作于方式0以及设置A口为输出口,C口为输入口的方法。

二.实验原理和内容

1.8255的工作方式

一片8255内部有3个端口,A口可以工作在方式0、方式1或方式2,B口可以工作在方式0、方式1,C口可以工作在方式0。

方式0是基本型输入/输出。这种方式和外设交换数据时,8255端口与外设之间不使用联络线。

方式1为选通型输入/输出。用这种方式和外界交换数据时,端口和外设之间要有联络信号。

方式2是双向数据传送,仅A口有这项功能。当A口工作在方式2时,B口仍可以工作在方式0或方式1,但此时B口方式1只能用查询方式与CPU交换信息。

2. 工作方式选择字

8255工作方式选择字共8位(如图),存放在8255控制寄存器中。最高位D7为标志位,D7=1表示控制寄存器中存放的是工作方式选择字,D7=0表示控制寄存器中存放的是C口置位/复位控制字。

3.C口置/复位控制字

8255的C口可进行位操作,即:可对8255C口的每一位进行置位或清零操作,该操作是通过设置C口置/复位字实现的。C口置/复位字共8位,各位含义如下:

4.8255A的控制信号与传输动作的对应关系:

5.命令字与初始化编程

8255有两个命令字,即方式选择控制字和C口置0/置1控制字,初始化编程的步骤是:

①向8255控制寄存器写入“方式选择控制字”,从而预置端口的工作方式。

②当端口预置为方式1或方式2时,再向控制寄存器写入“C口置0/置1控制字”。这一操作的主要目的是使相应端口的中断允许触发器置0,从而禁止中断,或者使相应端口的中断允许触发器置1,从而允许端口提出中断请求。

注意:“C口置0/置1控制字”虽然是对C口进行操作,但是该控制字是命令字,所以要写入控制寄存器,而不是写入C口控制寄存器。

③向8255数据寄存器写入“数据”或从8255数据寄存器读出“数据”

6.实验内容

(1)实验电路如图4-3-1,8255C口接逻辑电平开关K0~K7,A口接LED显示电路L0~L7。

(2)编程从8255C口输入数据,再从A口输出。

(3)接线:PC7~PC0/8255 接 K7~K0/逻辑电平开关

PA7~PA0/8255 接 L7~L0/LED显示

CS/8255 接 Y1/IO地址

8255控制寄存器端口地址--28BH, A口的地址--288H, B口的地址--289H,C 口的地址--28AH。

三.程序流程图

四.源程序

DATA SEGMENT

DATA ENDS

STACK SEGMENT

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

START PROC FAR

PUSH DS

XOR AX,AX

PUSH AX ;准备返回DOS

MOV AL,10001001B;8255初始化,设置A口为输出,C口输入

MOV DX,28BH

OUT DX,AL

LOOP1: MOV DX,28AH ;从C口读入开关状态

IN AL,DX

MOV DX,288H ;向A口输出,点亮对应二极管

OUT DX,AL

JMP LOOP1

RET

START ENDP

CODE ENDS

END START

五.实验结果

打开开关,对应的LED灯被点亮

六.实验总结

本次实验是关于并行接口8255,由于在实验前我们还没有讲8255,使得我在做实验过程中发生了很多困难,主要是在对硬件连接的分析上一直没有搞懂,后来我和周围同学看着课本一点点的讨论,最终弄懂了硬件连接和响应的过程,也使得我们对8255有了深刻的理解,画出硬件连接图后,很快编写了程序,完成了实验内容。

在此,还要感谢老师对我们严格要求,使得我们能真正搞懂硬件,再去完成软件编程。

实验四七段数码管

一.实验目的

掌握数码管显示数字的原理

二.实验原理和内容

1.数码管原理

实验台上的七段数码管为共阴型,段码采用同相驱动,输入端加高电平,选中的数码管亮,位码加反相驱动器,位码输入端高电平选中。七段数码管的字型代码表如下表:

2.实验内容

静态显示:按4-4-1连接好电路,将8255的A口PA0~PA7分别与七段数码管的段码驱动输入端a~dp相连,位码驱动输入端S0、S1 、S2、S3接PC0、PC1、PC2、PC3,编程在数码管显示自己的学号的后四位。(或编程在数码管上循环显示“00-99”,位码驱动输入端S0、S1 接PC0、PC1;S2、S3接地。)

3.接线: PA7~PA0/8255 接 dp~a/LED数码管

PC3~PC0/8255 接 S3~S0/LED数码管

CS/8255 接 Y1/IO地址

三.程序流程图

四.源程序

DA TA SEGMENT

DA TA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DA TA,SS:STACK

START: MOV AX,DATA

MOV DS,AX

MOV DX,28BH ;写控制口,设置A,C口为输出

MOV AL,80H

OUT DX,AL

MOV CX,100

AGAIN: MOV DX,28AH ;第一个数码管显示

MOV AL,00H

OUT DX,AL

MOV DX,288H

MOV AL,3FH

OUT DX,AL

MOV DX,28AH

MOV AL,01H

OUT DX,AL

MOV DX,28AH ;第二个数码管显示

MOV AL,00H

OUT DX,AL

MOV DX,288H

MOV AL,5BH

OUT DX,AL

MOV DX,28AH

MOV AL,02H

OUT DX,AL

MOV DX,28AH ;第三个数码管显示

MOV AL,00H

OUT DX,AL

MOV DX,288H

MOV AL,06H

OUT DX,AL

MOV DX,28AH

MOV AL,04H

OUT DX,AL

MOV DX,28AH ;第四个数码管显示

MOV AL,00H

OUT DX,AL

MOV DX,288H

MOV AL,3FH

OUT DX,AL

MOV DX,28AH

MOV AL,08H

OUT DX,AL

LOOP AGAIN

MOV AH,4CH

INT 21H

CODE ENDS

END START

五.实验结果

数码管显示我的学号后四位0120

六.实验总结

实验中遇到的问题:

由于有上一个实验的基础,我在做这个实验时对8255的工作方式和硬件连接已经十分熟悉了,因此开始很快就分析了硬件,画出流程图,编写了代码,原本以为可以很快做完这个实验,后来发现数码管显示有问题,显示有重影,还有些闪烁,后来,经过分析,我觉得是因为点亮每个数码管之前没有复位导致的,所以我在每次显示数码管之前对数码管的位码复位:

MOV DX,28AH

MOV AL,00H

OUT DX,AL

经过修改显示了稳定、清晰的数字。

这次实验再一次巩固了我对8255并行接口的理解和使用,同时,学会了数码管的使用。收获颇丰,也对我的理论课学习有十分大的帮助!

实验八可编程定时器/计数器(8253/8254)一.实验目的:

学习掌握8253用作定时器的编程原理;

二.实验原理和内容

1.8253/8254的使用

8253和8254都是可编程计数器,它们的引脚兼容,功能与使用方法相同。8254是8253的改进型。

(1)8253初始化

使用8253前,要进行初始化编程。初始化编程的步骤是:

①向控制寄存器端口写入控制字对使用的计数器规定其使用方式等。

②向使用的计数器端口写入计数初值。

(2)8253控制字

D7D6=00:使用0号计数器,D7D6=01:使用1号计数器

D7D6=10:使用2号计数器,D7D6=11:无效

D5D4=00:锁存当前计数值

D5D4=01:只写低8位(高8位为0),读出时只读低8位

D5D4=10:只写高8位(低8位为0),读出时只读高8位

D5D4=11:先读/写低8位,后读/写高8位计数值

D3D2D1=000:选择方式0,D3D2D1=001:选择方式1

D3D2D1=X10:选择方式2,D3D2D1=X11:选择方式3

D3D2D1=100:选择方式4,D3D2D1=101:选择方式5

D0=0:计数初值为二进制,D0=1:计数初值为BCD码数

2.实验电路

3.接线: CS /8253 接 Y0 /IO 地址

GATE0 /8253 接 +5V

CLK0 /8253 接 1M时钟

OUT0 /8253 接喇叭或蜂鸣器

8253控制寄存器地址 283H ,计数器0地址 280H ,计数器1地址 281H ,计数器2地址 282H ,CLK0连接时钟 1MHZ

4.实验内容:

完成一个音乐发生器,通过喇叭或蜂鸣器放出音乐,并在数码管上显示乐谱。

扩展部分:利用小键盘实现弹琴功能,并显示弹奏的乐谱。

三.程序流程图

四.源程序

1.基础实验:(自动播放预存乐曲)

DATA SEGMENT

NOTE DW 0,3906,3472,3125,2932,2604,2344,2083,1953;频率

TABLE DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;数码管MUSIC DB

1,1,5,5,6,6,5,4,4,3,3,2,2,1,5,5,4,4,3,3,2,5,5,4,4,3,3,2,1,1,5,5,6,6,5 ,4,4,3,3,2,2,1;存放的乐符

DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

;延时子程序

DELAY PROC NEAR

PUSH CX

MOV CX,0FFFFH

WAIT1: LOOP WAIT1

POP CX

RET

DELAY ENDP

START: MOV AX,DATA

MOV DS,AX

;8254初始化

MOV DX,283H

MOV AL,36H ;零号计数器,工作在方式3

OUT DX,AL

;8255初始化

MOV DX,28BH

MOV AL,80H

OUT DX,AL

MOV DX,28AH

MOV AL,01H

OUT DX,AL

AGAIN: MOV SI,0

MOV CX,42

PLAY: MOV BL,MUSIC[SI]

MOV BH,0

MOV AL,TABLE[BX]

MOV DX,288H ; 显示音符

OUT DX,AL

ADD BX,BX

MOV AX,NOTE[BX]

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV AX,120H

LOOP1: CALL DELAY

DEC AX

JNZ LOOP1

MOV AX,0

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

CALL DELAY

INC SI

LOOP PLAY

JMP AGAIN

CODE ENDS

END START

2.拓展实验:(DOS调用键盘输入弹奏乐曲)

DATA SEGMENT

NOTE DW 0,3906,3472,3125,2932,2604,2344,2083,1953;频率TABLE DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH ;数码管DATA ENDS

STACK SEGMENT STACK 'STACK'

DB 100 DUP(?)

STACK ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA,SS:STACK

;延时子程序

DELAY PROC NEAR

PUSH CX

MOV CX,0FFFFH

WAIT1: LOOP WAIT1

POP CX

RET

DELAY ENDP

START: MOV AX,DATA

MOV DS,AX

;8254初始化

MOV DX,283H

MOV AL,36H ;零号计数器,工作在方式3

OUT DX,AL

;8255初始化

MOV DX,28BH

MOV AL,80H

OUT DX,AL

MOV DX,28AH

MOV AL,01H

OUT DX,AL

PLAY: MOV AH,01H

INT 21H

SUB AL,30H

MOV AH,0

MOV BX,AX

MOV AL,TABLE[BX]

MOV DX,288H ; 显示音符

OUT DX,AL

ADD BX,BX

MOV AX,NOTE[BX]

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

MOV AX,90H

LOOP1: CALL DELAY

DEC AX

JNZ LOOP1

MOV AX,0

MOV DX,280H

OUT DX,AL

MOV AL,AH

OUT DX,AL

CALL DELAY

JMP PLAY

CODE ENDS

END START

微机原理与接口技术硬件实验报告

微原硬件实验报告 班级:07118 班 学号:070547 班内序号:26 姓名:杨帆

实验一熟悉实验环境及IO的使用 一,实验目的 1. 通过实验了解和熟悉实验台的结构,功能及使用方法。 2. 通过实验掌握直接使用Debug 的I、O 命令来读写IO 端口。 3. 学会Debug 的使用及编写汇编程序 二,实验内容 1. 学习使用Debug 命令,并用I、O 命令直接对端口进行读写操作, 2.用汇编语言编写跑马灯程序。(使用EDIT 编辑工具)实现功能 A.通过读入端口状态(ON 为低电平),选择工作模式(灯的闪烁方式、速度 等)。 B.通过输出端口控制灯的工作状态(低电平灯亮) 三,实验步骤 1.实验板的IO 端口地址为EEE0H 在Debug 下, I 是读命令。(即读输入端口的状态---拨码开关的状态) O 是写命令。(即向端口输出数据---通过发光管来查看) 进入Debug 后, 读端口拨动实验台上八位拨码开关 输入I 端口地址回车 屏幕显示xx 表示从端口读出的内容,即八位开关的状态ON 是0,OFF 是 1 写端口 输入O 端口地址xx (xx 表示要向端口输出的内容)回车 查看实验台上的发光二极管状态,0 是灯亮,1 是灯灭。 2. 在Debug 环境下,用a 命令录入程序,用g 命令运行 C>Debug -a mov dx, 端口地址 mov al,输出内容 out dx, al

mov ah, 0bh int 21h or al, al jz 0100 int 20h -g 运行查看结果,修改输出内容 再运行查看结果 分析 mov ah, 0bh int 21h or al, al jz 0100 int 20h 该段程序的作用 3.利用EDIT 工具编写汇编写跑马灯程序程序 实现功能 A.通过读入端口状态(ON 为低电平),选择工作模式(灯的闪烁方式、速度等)。 B.通过输出端口控制灯的工作状态(低电平灯亮) C>EDIT 文件名.asm 录入程序 按Alt 键打开菜单进行存盘或退出 编译文件 C>MASM 文件名.asm 连接文件 C>LINK 文件名.obj 运行文件或用Debug 进行调试。 四,程序流程图

微机原理与接口技术 北邮 软件 实验报告

微机原理与接口技术软件实验报告

实验B 分支、循环程序设计 一、实验目的 1.开始独立进行汇编语言程序设计; 2.掌握基本分支,循环程序设计; 3.掌握最简单的DOS功能调用。 二、实验任务及内容 1.安排一个数据区,内存有若干个正数,负数和零。每类数的个数都不超过9。 2.编写一个程序统计数据区中正数,负数和零的个数。 3.将统计结果在屏幕上显示。 4. 选作题: 统计出正奇数,正偶数,负奇数,负偶数以及零的个数.

四、源程序 DISPSTR MACRO STR ;打出字符串(属于DOS功能调用)MOV AH,9 MOV DX,SEG STR MOV DS,DX MOV DX,OFFSET STR INT 21H ENDM DISPNUM MACRO NUM ;打出数字(属于DOS功能调用)MOV AH,2 MOV DL,NUM ADD DL,30H ;加30H变为ASCII码 INT 21H ENDM DATA SEGMENT NUM DB 3,2,7,0,1,0,-5,-4,0 COUNT EQU $-NUM ;统计数据个数 ZEROS DB 0 ;各类数初值均为0 PLUSES DB 0 MINUSES DB 0 EVENMINUSES DB 0 ODDMINUSES DB 0 EVENPLUSES DB 0 ODDPLUSES DB 0 ZEROSTR DB 0DH,0AH,'ZERO:$' ;待输出字符串

PLUSSTR DB 0DH,0AH,'PLUS:$' MINUSSTR DB 0DH,0AH,'MINUS:$' EVENMINUSSTR DB 0DH,0AH,'EVENMINUS:$' ODDMINUSSTR DB 0DH,0AH,'ODDMINUS:$' EVENPLUSSTR DB 0DH,0AH,'EVENPLUS:$' ODDPLUSSTR DB 0DH,0AH,'ODDPLUS:$' DATA ENDS STACK SEGMENT STACK 'STACK' DB 100 DUP(?) STACK ENDS CODE SEGMENT ASSUME CS:CODE, DS:DATA, SS:STACK START PROC FAR PUSH DS ;初始化 MOV AX,0 PUSH AX MOV AX,DATA MOV DS,AX MOV CX,COUNT ;CX控制循环次数 MOV SI,OFFSET NUM ;SI指向数据的偏移地址 LOOP1: CMP BYTE PTR[SI],0 ;将SI指向的内容与0比较大小JZ ZERO ;等于0跳转 JG PLUS ;大于0跳转 INC MINUSES ;负数加一 SHR BYTE PTR[SI],1 ;判断是负奇数还是负偶数 JNC EVENMINUS ;是负偶数跳转 INC SI ;SI指针后移 INC ODDMINUSES ;负奇数加一 RETURN: LOOP LOOP1 ;循环直至CX=0 JMP DISP ;循环结束后跳转至打出结果 ZERO: INC ZEROS INC SI JMP RETURN ;返回循环体 PLUS: INC PLUSES SHR BYTE PTR[SI],1 JNC EVENPLUS

北邮通原硬件实验报告(DOC)

2013年通信原理硬件实验报告 学院:信息与通信工程学院 班级:2011211104 姓名: 学号: 班内序号: 组号: 同组人:

目录 实验一:双边带抑制载波调幅(DSB-SC AM) (3) 实验二:具有离散大载波的双边带调幅波(AM) (14) 实验三:调频(FM) (21) 实验六:眼图 (28) 实验七:采样,判决 (31) 实验八:二进制通断键控(OOK) (34) 实验十一:信号星座(选作) (41) 实验十二:低通信号的采样与重建 (45)

实验一双边带抑制载波调幅(DSB-SC AM) 一.实验目的 (1)了解DSB-SC AM信号的产生及相干解调的原理和实现方法。 (2)了解DSB-SC AM的信号波形及振幅频谱的特点,并掌握其测量方法。 (3)了解在发送DSB-SC AM信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法。 (4)掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的测试方法。 二.实验器材 PC机一台、TIMS实验平台、示波器、导线等。 三.实验原理 1.双边带抑制载波调幅(DSB-SC AM)信号的产生和表达式 图1.1 2.双边带抑制载波调幅信号的解调 基本思路:利用恢复的载波与信号相乘,将频谱搬移到基带,还原出原基带信号。 图1.2 3.DSB-SC AM信号的产生及相干解调原理框图 ()()()()() cos c c c s t m t c t m t A t ω? ==+

图1.3 四.实验内容及结果 1.DSB-SC AM信号的产生 (1)实验步骤: 图1.4 1.按照上图,将音频振荡器输出的模拟音频信号及主振荡器输出的100KHz模

微实验报告

本科生实验报告 实验课程微机原理与接口技术 学院名称信息科学与技术学院 专业名称电子信息工程 学生姓名干娜 学生学号201413080229 指导教师李志鹏 实验地点6B610 实验成绩 二〇一六年十月二〇一六年十二月

实验一、动态调试程序DEBUG 一、实验目的 1.动态调试程序DEBUG环境的搭建; 2.掌握各种汇编指令的作用; 3.掌握磁盘文件操作命令的使用; 4.掌握查找、比较、填充和移动内存命令的使用。 二、实验内容 1.搭建汇编调试环境,安装DOS系统; 2.进行DEBUG动态调试程序的启动与退出; 3.进行汇编、执行、跟踪与反汇编命令的编写与运行; 4.进行显示、修改内存和寄存器命令的编写与运行; 5.进行查找、比较、填充和移动内存命令的编写与运行。 三、DEBUG的启动与退出 DEBUG的启动:首先选择一个磁盘,建立一个名为“TEST”的文件,文件名可以任意,然后挂载DOS系统在任意磁盘上,执行代码界面为: 出现“-”表示执行成功,进入DEBUG调试环境,此后可以进行代码的编译与执行。 DEBUG的退出命令:-Q 四、汇编、执行、跟踪与反汇编 1.A命令:逐行汇编命令,主要用于小段程序的汇编和修改目标程序。使用逐行汇编命令的格式为: A[地址] 实验内容:汇编一小段程序,DOS运行界面为:

该段程序完成了对AX,BX,CX,DX寄存器写入规定的数据。 2.G命令:启动运行一个程序或程序的一段,编写格式为: G[=<起始地址>][<断点地址>…] 执行A命令的代码后,运用G命令查看各个寄存器状态: 结果分析:从运行结果可以看出,BX、CX、DX已经写入了输入值,但AX 中的值并不是输入值,可能是AX寄存器的值写入后又被改变。 3.T命令 T命令用来逐条跟踪程序的运行,编写格式如下: T[=<地址>][<跟踪条数>] 每条指令执行后,都要暂停并显示各寄存器的内容,跟踪执行实际上是单步执行,执行结果如下: 从地址100开始,跟踪三条指令,从执行细节可以看出AX、BX、CX、DX都写入了程序给定的值,IP指针的值也是逐条递增。 4.U命令:用来对二进制代码程序进行反汇编,常用于分析和调试目标程序,引用格式如下: U[<地址>]

北邮微原硬件实验报告

2013年微机原理硬件实验报告 学院:信息与通信工程学院班级:2011211104 姓名:

实验一 I/O地址译码 一.实验目的 掌握I/O地址译码电路的工作原理。 二.实验原理和内容 1.实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台上数字电路实验区的D触发器,74LS138为地址译码器。 译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 原理:地址2A0H的A5,A4,A5为100,在输入或输出时,IOW或I OR为0,使得74LS138被选中,经过译码,在Y4口输出负脉冲。其他同理。 图1-1 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 2.接线:Y4/IO地址接CLK/D触发器

Y5/IO 地址 接 CD/D 触发器 D/D触发器 接 SD/D 角发器 接 +5V Q /D 触发器 接 L7(L ED 灯)或 逻辑笔 三.程序流程图 四.源程序 DA TA ?SE GMENT D ATA ??ENDS ST ACK SE GME NT ST ACK 'STA CK' DB 100H DU P(?) STA CK ENDS 否

计算机组成原理实验报告

重庆理工大学 《计算机组成原理》 实验报告 学号 __11503080109____ 姓名 __张致远_________ 专业 __软件工程_______ 学院 _计算机科学与工程 二0一六年四月二十三实验一基本运算器实验报告

一、实验名称 基本运算器实验 二、完成学生:张致远班级115030801 学号11503080109 三、实验目的 1.了解运算器的组成结构。 2.掌握运算器的工作原理。 四、实验原理: 两片74LS181 芯片以并/串形式构成的8位字长的运算器。右方为低4位运算芯片,左方为高4位运算芯片。低位芯片的进位输出端Cn+4与高位芯片的进位输入端Cn相连,使低4位运算产生的进位送进高4位。低位芯片的进位输入端Cn可与外来进位相连,高位芯片的进位输出到外部。 两个芯片的控制端S0~S3 和M 各自相连,其控制电平按表2.6-1。为进行双操作数运算,运算器的两个数据输入端分别由两个数据暂存器DR1、DR2(用锁存器74LS273 实现)来锁存数据。要将内总线上的数据锁存到DR1 或DR2 中,则锁存器74LS273 的控制端LDDR1 或LDDR2 须为高电平。当T4 脉冲来到的时候,总线上的数据就被锁存进DR1 或DR2 中了。 为控制运算器向内总线上输出运算结果,在其输出端连接了一个三态门(用74LS245 实现)。若要将运算结果输出到总线上,则要将三态门74LS245 的控制端ALU-B 置低电平。否则输出高阻态。数据输入单元(实验板上印有INPUT DEVICE)用以给出参与运算的数据。其中,输入开关经过一个三态门(74LS245)和内总线相连,该三态门的控制信号为SW-B,取低电平时,开关上的数据则通过三态门而送入内总线中。 总线数据显示灯(在BUS UNIT 单元中)已与内总线相连,用来显示内总线上的数据。控制信号中除T4 为脉冲信号,其它均为电平信号。 由于实验电路中的时序信号均已连至“W/R UNIT”单元中的相应时序信号引出端,因此,需要将“W/R UNIT”单元中的T4 接至“STATE UNIT”单元中的微动开关KK2 的输出端。在进行实验时,按动微动开关,即可获得实验所需的单脉冲。 S3、S2、 S1、S0 、Cn、M、LDDR1、LDDR2、ALU-B、SW-B 各电平控制信号则使用“SWITCHUNIT”单元中的二进制数据开关来模拟,其中Cn、ALU-B、SW-B 为低电平有效,LDDR1、LDDR2 为高电平有效。 对于单总线数据通路,作实验时就要分时控制总线,即当向DR1、DR2 工作暂存器打入数据时,数据开关三态门打开,这时应保证运算器输出三态门关闭;同样,当运算器输出结果至总线时也应保证数据输入三态门是在关闭状态。 运算结果表

微波仿真实验报告(北邮)

北京邮电大学 微波仿真实验报告实验名称:微波仿真实验

姓名:刘梦颉 班级:2011211203 学号:2011210960 班内序号:11 日期:2012年12月20日 一、实验目的 1、熟悉支节匹配的匹配原理。 2、了解微带线的工作原理和实际应用。 3、掌握Smith图解法设计微带线匹配网络。 4、掌握ADS,通过SmithChart和Momentum设计电路并仿真出结果。 二、实验要求 1、使用软件:ADS 2、实验通用参数: FR4基片:介电常数为4.4,厚度为1.6mm,损耗角正切为0.02 特性阻抗:50欧姆 3、根据题目要求完成仿真,每题截取1~3张截图。

三、实验过程及结果 第一、二次实验 实验一: 1、实验内容 Linecal的使用(工作频率1GHz) a)计算FR4基片的50欧姆微带线的宽度 b)计算FR4基片的50欧姆共面波导(CPW)的横截面尺寸(中心信号线 宽度与接地板之间的距离) 2、相关截图 (a)根据实验要求设置相应参数

(b)根据实验要求设置相应参数 实验二 1、实验内容 了解ADS Schematic的使用和设置2、相关截图:

打开ADS软件,新建工程,新建Schematic窗口。 在Schematic中的tools中打开lineCalc,可以计算微带线的参数。 3、实验分析 通过在不同的库中可以找到想要的器件,比如理想传输线和微带线器件。在完成电路图后需要先保存电路图,然后仿真。在仿真弹出的图形窗口中,可以绘制Smith图和S参数曲线图。

实验三 1、实验内容 分别用理想传输线和微带传输线在FR4基片上,仿真一段特性阻抗为50欧姆四分之波长开路线的性能参数,工作频率为1GHz。观察Smith圆图变化。 2、相关截图 (1)理想传输线

通原实验报告

振幅调制(Amplitude Modulation)与解调实验目的: 了解TIMS 实验的软硬件环境和基本的软件调试方式; 掌握AM 信号的调制方法; 掌握AM 信号的解调方法; 掌握调制系数的含义; 实验原理: 具有离散大载波(AM)调制的基本原理,原理框图如下: AM 信号调制原理框图 包络检波器的基本构成和原理,原理框图如下: AM 信号解调原理框图 AM信号输出 AM信号产生实验连接图

AM信号的非相干解调实验连接图 实验器件: 音频振荡器(Audio Oscillator),可变直流电压(Variable DC), 主振荡器(Master Signals),加法器(Adder),乘法器(Multiplier),移相器(Phase Shifer),共享模块(Utilities Module)和音频放大器(Headphone Amplifier) 实验步骤: 按照设计图设计AM 调制与解调系统,模拟基带信号频率为1KHz,电压振幅为1V;载波为一高频信号,电压振幅为1V; 实现AM 调制与解调系统,分别观察基带信号、调制信号和解调信号的波形; 调制系统参数,观察调制系数为a>1,a=1,a<1 时调制信号和解调信号的波形变化。实验波形: a>1

a=1 a<1 思考题: 1、若用同步检波,如何完成实验?比较同步检波和包络检波的有缺点。 用同步检波则在接受AM调制信号端乘一个恢复载波信号,再经过低通滤波器就完成同步解调了。同步检波要求恢复载波于接受信号载波同频同相,一般要在发端加一离散的载频分量即导频,则在发端要分配一部分功率给导频,或者在收端提取载波分量,复杂且不经济。线形良好,增益高,对调制系数没要求。包络检波不需要提取载波分量,比较简单经济,但要求调制系数小于等于1,抗干扰差。 2、若调制系数大于1,是否可以用包络检波来还原信号。 不可以,这时已经出现失真现象。 3、调制系数分别”<1”,”>1”,”=1”时,如何计算已调信号的调制系数? A B分别表示波形垂直方向上的最大和最小长度,代入下述公式即可求出 调幅系数ma = [(A-B)/(A+B)] ? 100 %

微机原理实验报告软件实验1-4

微机原理实验报告 学院:算机科学与软件教育学院 1. 掌握存储器读写方法 2. 了解存储器的块操作方法 二、实验原理 存储器读写和块操作 三、实验设备仪器及材料 计算机,WA VE 6000软件 四、实验过程 S1.asm 代码流程图 data segment Block db 256 dup(55h) data ends code segment assume cs:code, ds:data start proc near mov ax, data mov ds, ax mov bx, offset Block ; 起始地址 mov cx, 256 ; 清256 字节Again: mov [bx], byte ptr 0 inc bx ; 地址+1 Loop Again ; 记数减一jmp $ ;死循环code ends end start

五、实验步骤 (1) 进入Wave6000,输入程序并检查,保存程序。 (2) “编译”程序。 (3) “全速执行”程序。 (4) “暂停”程序运行,在“数据窗口(MEMOREY)”查看0400H起始的单元内容,并记录。 (5) 在指令“jmp $”处设断点。“全速执行”程序。 (6) 在“数据窗口(MEMOREY)”查看0400H起始的单元内容,记录并分析实验结果。 六、实验结果及总结 运行前:运行后: 2、调试:如何将存储器块的内容置成某固定值(例全填充为0FFH)? 总结:通过本实验,我了解到单片机读写存储器的读写方法,同时也了解到单片机编程,调试方法。学会内存的移动方法,也加深对存储器读写的认识。

微机原理实验报告 学院:算机科学与软件教育学院 实验 课程 名 微机原理实验成绩实验 项目名称实验二、二进制到BCD码转换 指导老 师 1. 了解BCD值和ASCII值的区别。 2. 了解如何将BCD值转换成ASCII值。 3. 了解如何查表进行数值转换及快速计算。 二、实验原理 ASCII码表 三、实验设备仪器及材料 计算机,WA VE 6000软件 data segment Result db 3 dup(?) data ends code segment assume cs:code, ds:data start proc near mov ax, data mov ds, ax mov ax, 123 mov cl, 100 div cl mov Result, al ; 除以 100, 得百位数 mov al, ah mov ah, 0 mov cl, 10 div cl mov Result+1, al ; 余数除以 10, 得十位数 mov Result+2, ah ; 余数为个位 数 jmp $ code ends end start 代码流程图

北邮微原硬件实验

信息与通信工程学院 微原硬件实验报告 姓名: 班级: 学号: 班内序号: 【一.基本的I/O实验】 实验一 I/O地址译码 一、实验目的 掌握I/O地址译码电路的工作原理。 二、实验原理和内容 1、实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台 上数字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:

280H~287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 图1-1 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔通过软件延时实现。 2、接线: Y4/IO地址接 CLK/D触发器 Y5/IO地址接 CD/D触发器 D/D触发器接 SD/D角发器接 +5V Q/D触发器接 L7(LED灯)或逻辑笔 三、硬件接线图及软件程序流程图 1.硬件接线图 2.软件程序流程图

四、源程序 DATA SEGMENT DATA ENDS STACK SEGMENT STACK 'STACK' DB 100H DUP(?) STACK ENDS CODE SEGMENT ASSUME CS:CODE,DS:DATA,SS:STACK ;基本框架;延时子程序 DELAY1 PROC NEAR MOV BX,500H PUSH CX LOOP2: MOV CX,0FFFH WAIT1: LOOP WAIT1 DEC BX JNZ LOOP2 POP CX RET DELAY1 ENDP START: MOV CX,0FFFFH ;L7闪烁控制 LOOP1: MOV DX,2A0H ;灯亮 OUT DX,AL CALL DELAY1 MOV DX,2A8H ;灯灭 OUT DX,AL CALL DELAY1 LOOP LOOP1 ;循环闪烁 CODE ENDS END START 五、实验结果 灯L7闪烁 实验二简单并行接口 一、实验目的 掌握简单并行接口的工作原理及使用方法。(选择273进行实验)二、实验原理和内容

北京邮电大学微机原理硬件实验报告

北京邮电大学微机原理硬件实验报告

实验报告一:I/0地址译码和简单并行接口 ——实验一&实验二 一、实验目的 掌握I/O地址译码电路的工作原理;掌握简单并行接口的工作原理及使用方法。 二、实验原理及内容 a) I/0地址译码 1、实验电路如图1-1所示,其中74LS74为D触发器,可直接使用实验台上数 字电路实验区的D触发器,74LS138为地址译码器。译码输出端Y0~Y7在实验台上“I/O地址“输出端引出,每个输出端包含8个地址,Y0:280H~ 287H,Y1:288H~28FH,……当CPU执行I/O指令且地址在280H~2BFH范围内,译码器选中,必有一根译码线输出负脉冲。 例如:执行下面两条指令 MOV DX,2A0H OUT DX,AL(或IN AL,DX) Y4输出一个负脉冲,执行下面两条指令 MOV DX,2A8H OUT DX,AL(或IN AL,DX) Y5输出一个负脉冲。 利用这个负脉冲控制L7闪烁发光(亮、灭、亮、灭、……),时间间隔经过软件延时实现。 2、接线: Y4/IO地址接 CLK/D触发器

Y5/IO地址接 CD/D触发器 D/D触发器接 SD/D触发器接 +5V Q/D触发器接L7(LED灯)或逻辑笔 b) 简单并行接口 1、按下面图4-2-1简单并行输出接口电路图连接线路(74LS273插通 用插座,74LS32用实验台上的“或门”)。74LS273为八D触发器, 8个D输入端分别接数据总线D0~D7,8个Q输出端接LED显示电 路L0~L7。 2、编程从键盘输入一个字符或数字,将其ASCⅡ码经过这 个输出接口输出,根据8个发光二极管发光情况验证正确 性。 3、按下面图4-2-2简单并行输入接口电路图连接电路 (74LS244插通用插座,74LS32用实验台上的“或门”)。 74LS244为八缓冲器,8个数据输入端分别接逻辑电平开关 输出K0~K7,8个数据输出端分别接数据总线D0~D7。 4、用逻辑电平开关预置某个字母的ASCⅡ码,编程输入这 个ASCⅡ码,并将其对应字母在屏幕上显示出来。 5、接线:1)输出 按图4-2-1接线(图中虚线为实验所需接线,74LS32为实验 台逻辑或门) 2)输入 按图4-2-2接线(图中虚线为实验所需接线,74LS32为实 验台逻辑或门) 三、硬件连线图 1、I/O地址译码

北邮通原软件实验

实验一 实验目的:假设基带信号为m(t)=sin(2000πt)+2cos(1000πt),载波频率为20kHz,请仿真出AM,DSB-SC,SSB信号,观察已调信号的波形和频谱。 1.AM信号: (1)信号的表达式 (3)流程图 AM信号 s= (1+0.3*m).*cos(2*pi*fc*t); 绘制时域波形及频谱 傅氏变换S= t2f(s,fs) (2)源代码 %AM信号的产生 fs= 800; %采样频率KHz T= 200; %截短时间ms N= T*fs; %采样点数 dt= 1/fs; t= [-T/2:dt:T/2-dt]; df= 1/T; f=[-fs/2:df:fs/2-df]; fm= 1; % kHz fc= 20; % kHz m= sin(2*pi*fm*t)+2*cos(1*fm*pi*t); s= (1+0.3*m).*cos(2*pi*fc*t); %AM 信号 S= t2f(s,fs); figure(1) plot(f,abs(S1)) title('AM信号频谱') xlabel('f') ylabel('S(f)') axis([-25,25,0,max(abs(S1))]); %xset('window',2)figure(2) plot(t,s1) title('AM信号波形') xlabel('t') ylabel('s(t)') axis([-3,3,-3,3]); (4)实验结果

精选文库 -3 -2-1 0123 -3-2 -1 1 2 3 AM 信号波形 t(ms) s (t ) -25 -20 -15 -10 -5 05 10 15 20 25 0102030405060708090 100AM 信号频谱 f(kHz) S (f )

计算机组成原理微程序控制单元实验报告

姓名 学号 班级 ******************年级 指导教师 《计算机组成原理》实验报告 实验名称微程序控制单元实验、指令部件模块实验、时序与启停实验 实验室实验日期 实验七微程序控制单元实验 一、实验目的 ⒈ 掌握时序产生器的组成方式。 ⒉ 熟悉微程序控制器的原理。 ⒊ 掌握微程序编制及微指令格式。 二、实验原理 图 7- 7- 1

图 7-7-4 微地址控制原理图 微程序控制单元实验原理就是人为的给出一条微指令的地址,人为的去打开测试开关,观察机器怎么运行,打个比方就是我要你执行我下的某条命令,我先告诉你命令写在哪页纸上, 你找到纸后,分析命令是什么之后再去执行。 观察机器微程序控制器的组成见图7-1-1 ,微地址的打入操作就是由操作者给出一条微指令 的地址(同上面的例子就是仅仅告诉你我让你跑的这条命令写在哪页纸上,而没有告诉你 命令的具体内容),不需要做测试去判断这是什么指令,所以由图7-7-1 ,其中微命令寄存器 32 位,用三片 8D 触发器 (273) 和一片 4D(175) 触发器组成。它们的清零端由CLR来控制微控制器的清零。它们的触发端CK接 T2,不做测试时 T2 发出时钟信号,将微程序的内容 打入微控制寄存器(含下一条微指令地址)。打入了微指令的地址(即告诉你命令在哪页纸上,此时你需要先找到这页纸并判断命令是叫你做什么,然后执行),进行测试,T4 发出时钟信号,转移逻辑满足条件后输出的负脉冲通过置位端将某一触发器输出端置为“1”状态,按图 7-7-4 所示,微地址锁存器的置位端R 受 SE5~SE0控制,当测试信号 SE5~SE0输出负脉冲时,通过锁存器置位端R将某一锁存器的输出端强行置“1”,实现微地址的修改与转移,此时的地址指的是指令的操作码的地址(即你已经知道命令是跑,此时做的是跑的行为)。再由数据开关置入微地址的值,再做测试,再跳到指令的操作码的地址准备开始执行 指令,这就是微程序控制单元实验的原理。

8086软硬件实验报告(微机原理与接口技术上机实验)

实验一实验环境熟悉与简单程序设计 实验目的 (1)掌握DEBUG调试程序的使用方法。 (2)掌握简单程序的设计方法。 实验内容 编程将BH中的数分成高半字节和低半字节两部分,把其中的高半字节放到DH中的低4位(高4位补零),把其中的低半字节放到DL中的低4位(高4位补零)。如: BH=10110010B 则运行程序后 DH=00001011B DL=00000010B 实验准备 (1)熟练掌握所学过的指令。 (2)根据实验内容,要求预先编好程序。 实验步骤 (1)利用DEBUG程序输入、调试程序。 (2)按下表要求不断地修改BH的内容,然后记录下DX的内容。 实验报告 (1)给出程序清单。 (2)详细说明程序调试过程。

程序: CODE SEGMENT START : MOV BH,00111111B MOV AL,BH MOV CL,4 SHR AL,CL MOV DH,AL MOV AL,BH AND AL,00001111B MOV DL,AL MOV CL,0 CODE ENDS END START

实验二简单程序设计 实验目的 (3)掌握DEBUG调试程序的使用方法。 (4)掌握简单程序的设计方法。 实验内容 试编写一个汇编语言程序,要求实现功能:在屏幕上显示:Hello world My name is Li Jianguo 参考程序如下:(有错) data segment out1 db 'Hello world' ax db 'My name is Li Jianguo' data ens code segment assume cs:code;ds:data lea dx,out1 mov ah,2 int 21h mov dl,0ah mov ah,2

北邮电磁场与微波实验天线部分实验报告二

北邮电磁场与微波实验天线部分实验报告二

信息与通信工程学院电磁场与微波实验报告

实验二网络分析仪测试八木天线方向图 一、实验目的 1.掌握网络分析仪辅助测试方法; 2.学习测量八木天线方向图方法; 3.研究在不同频率下的八木天线方向图特性。 注:重点观察不同频率下的方向图形状,如:主瓣、副瓣、后瓣、零点、前后比等; 二、实验步骤: (1) 调整分析仪到轨迹(方向图)模式; (2) 调整云台起点位置270°; (3) 寻找归一化点(最大值点); (4) 旋转云台一周并读取图形参数; (5) 坐标变换、变换频率(f600Mhz、900MHz、1200MHz),分析八木天线方向图特性; 三、实验测量图 不同频率下的测量图如下: 600MHz:

900MHz:

1200MHz:

四、结果分析 在实验中,分别对八木天线在600MHz、900MHz、1200MHz频率下的辐射圆图进行了测量,发现频率是900MHz的时候效果是最好的,圆图边沿的毛刺比较少,方向性比较好,主瓣的面积比较大。 当频率为600 MHz的时候,圆图四周的毛刺现象比较严重,当频率上升到1200MHz时,辐射圆图开始变得不规则,在某些角度时出现了很大的衰减,由对称转向了非对称,圆图边缘的毛刺现象就非常明显了,甚至在某些角度下衰减到了最小值。 从整体来看,八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候周围的人应该避免走动,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 由实验结果分析可知:最大辐射方向基本在90°和270°这条直线上,图中旁瓣均较小,及大部分能量集中在主瓣。 八木天线由于测量的是无线信号,因此受周围环境的影响还是比较大的,因此在测量的时候应当尽量保持周边环境参数一定,以减小对天线电磁波的反射从而减小测量带来的误差使得圆图更接近真实情况。 五、实验总结

通原实验报告

实验一:双边带抑制载波调幅(DSB-SC AM) 一、实验目的: *了解DSB-SC AM信号的产生及相干解调的原理和实现方法。 *了解DSB-SC AM信号波形及振幅频谱特点,并掌握其测量方法。 *了解在发送DSB-SC AM信号加导频分量的条件下,收端用锁相环提取载波的原理及其实现方法。 *掌握锁相环的同步带和捕捉带的测量方法,掌握锁相环提取载波的测试方法。 二、实验原理: DSB-SC AM信号的产生及相干解调原理: 增益G 将均值为零的模拟基带信号m(t)与正弦载波相乘得到DSB-SC AM信号,其频谱不包含载波分量。 DSB-SC AM信号的解调只能采用相干解调。为了能在接收端获取载波,在发端加导频。收端用窄带锁相环来提取导频信号作为恢复载波。锁定后的VCO输出信号与导频同频且几乎同相。 相干解调是将发来的信号s(t)与恢复载波相乘,再经过低通滤波后输出模拟基带号。 三、实验步骤 (A) DSB-SC AM信号的产生 1、实验步骤: (1)调整音频振荡器输出的模拟信号频率为10KHZ,作为均值为零的调制信号m(t)。主振荡器输出100KHZ的模拟载波信号。如下图:

主振荡器输出音频振荡器输出 将两路信号连接到乘法器的两个输入端。 (2)乘法器输出波形如下图,波形在调制信号半周期的整数倍处的过零点存在相位翻转。 (3)已调信号的振幅频谱如下图: 该频谱具有以下特点:没有单独的载波分量,在载波频率的两侧有相互对称的两个冲击信号,分别称为上、下边带。该频谱是将基带信号线性搬移到载波频率上得到的。 (4)将DSB-SC AM信号和导频分别连接到加法器的输入端,调整加法器的增益G和g (a)调整G=1

北邮微机原理软件实验报告

微机原理软件实验报告

实验二分支,循环程序设计 一、预习题: 1.十进制数0 -- 9 所对应的ASCII 码是什么? 如何将十进制数0 -- 9 在屏幕上显示出来? 答:要屏显0-9的数码,可以调用02h中断,然后将要显示的数码的ASCII码存进DL 里,然后执行INT 21H就可以打印字符。当然,若不只一个数,调用09h中断(显示字符串)更好,但要将DS:DXZ指向要显示的数字在内存中的首地址,并要求以$结束。 2.如何检验一个数为正,为负或为零? 你能举出多少种不同的方法? 答:CMP X,0 检验标志位CF CF=1,X为负数 CF=0接着检验ZF:ZF=1,X为零,ZF=0,X为正数 二、实验目的: 1.开始独立进行汇编语言程序设计; 2.掌握基本分支,循环程序设计; 3.掌握最简单的DOS 功能调用. 三、实验内容: 1.安排一个数据区,内存有若干个正数,负数和零.每类数的个数都不超过9. 2.编写一个程序统计数据区中正数,负数和零的个数. 四、实验源代码 assume cs:code,ds:data data segment buff db 1,2,3,4,5,-1,-2,-3,0,0 ;安排的数据区 string db '>0:' plus db 0 ;用变量plus来存储正数的个数 db 0ah,0dh string1 db '=0:' zero db 0 ;用变量zero来存储零的个数 db 0ah,0dh string2 db '<0:' minus db 0 ;用变量minus来存储负数的个数 db '$' data ends code segment start:movax,data movds,ax mov cx,10 ;初始化,并将CX赋为10,因为共有10个数 mov dx,0 ;将计数器dx,ah初始化为0 mov ah,0 lea bx,buff compare:cmp byte ptr [bx],0 ;取出一个数与0进行比较 jgeplu ;大于等于0,跳至plu执行 inc ah ;小于0,用ah暂存小于0的个数,ah+1 jmp next ;比完后进行下一个数的比较

北邮通原软件实验报告

北京邮电大学实验报告 题目:基于SYSTEMVIEW通信原理实验报告 班级: 专业: 姓名: 成绩:

实验1:抽样定理 一.实验目的 (1)掌握抽样定理 (2)通过时域频域波形分析系统性能 二.实验原理 抽样定理:设时间连续信号m(t),其最高截止频率为fm ,如果用时间间隔为T<=1/2fm的采样序列对m(t)进行抽样时,则m(t)就可被样值信号唯一地表示。 抽样过程原理图(时域)重建过程原理图(频域) 具体而言:在一个频带限制在(0,f h)内的时间连续信号f(t),

如果以小于等于1/(2 f h)的时间间隔对它进行抽样,那么根据这些抽样值就能完全恢复原信号。或者说,如果一个连续信号f(t)的频谱中最高频率不超过f h,这种信号必定是个周期性的信号,当抽样频率f S≥2 f h时,抽样后的信号就包含原连续信号的全部信息,而不会有信息丢失,当需要时,可以根据这些抽样信号的样本来还原原来的连续信号。根据这一特性,可以完成信号的模-数转换和数-模转换过程。 三.实验步骤 1.将三个基带信号相加后抽样,然后通过低通滤波器恢复出原信号。实现验证抽样定理的仿真系统,同时在必要的输出端设置观察窗。如下图所示 2.设置各模块参数 三个基带信号频率从上至下依次为10hz、20hz、40hz。

抽样信号频率fs设置为80hz,即2*40z。(由抽样定理知,fs≥2fH)。低通滤波器频率设置为40hz 。设置系统时钟,起始时间为0,终止时间设为1s.抽样率为1khz。 3.改变抽样速率观察信号波形的变化。 四.实验结果 基带信 号 最终恢 复信号 抽样后 的信号 波形 实验讨论观察上图,可以看出,抽样后的信号波形如同冲激信号,且其包络图形为原基带信号波形图。最终恢复所得的信号波形与原

北邮通信原理软件实验报告XXXX27页

通信原理软件实验报告 学院:信息与通信工程学院 班级: 一、通信原理Matlab仿真实验 实验八 一、实验内容 假设基带信号为m(t)=sin(2000*pi*t)+2cos(1000*pi*t),载波频率为20kHz,请仿真出AM、DSB-SC、SSB信号,观察已调信号的波形和频谱。 二、实验原理 1、具有离散大载波的双边带幅度调制信号AM 该幅度调制是由DSB-SC AM信号加上离散的大载波分量得到,其表达式及时间波形图为: 应当注意的是,m(t)的绝对值必须小于等于1,否则会出现下图的过调制: AM信号的频谱特性如下图所示: 由图可以发现,AM信号的频谱是双边带抑制载波调幅信号的频谱加上离散的大载波分量。 2、双边带抑制载波调幅(DSB—SC AM)信号的产生 双边带抑制载波调幅信号s(t)是利用均值为0的模拟基带信号m(t)和正弦载波 c(t)相乘得到,如图所示: m(t)和正弦载波s(t)的信号波形如图所示:

若调制信号m(t)是确定的,其相应的傅立叶频谱为M(f),载波信号c(t)的傅立叶频谱是C(f),调制信号s(t)的傅立叶频谱S(f)由M(f)和C(f)相卷积得到,因此经过调制之后,基带信号的频谱被搬移到了载频fc处,若模拟基带信号带宽为W,则调制信号带宽为2W,并且频谱中不含有离散的载频分量,只是由于模拟基带信号的频谱成分中不含离散的直流分量。 3、单边带条幅SSB信号 双边带抑制载波调幅信号要求信道带宽B=2W, 其中W是模拟基带信号带宽。从信息论关点开看,此双边带是有剩余度的,因而只要利用双边带中的任一边带来传输,仍能在接收机解调出原基带信号,这样可减少传送已调信号的信道带宽。 单边带条幅SSB AM信号的其表达式: 或 其频谱图为: 三、仿真设计 1、流程图:

微原实验报告8253

华北电力大学 实验报告 | | 实验名称 8253应用 课程名称微机原理及应用 | | 专业班级:自动化1202 学生姓名:屈言雪 学号: 201202020222 成绩: 指导教师:程海燕实验日期: 2014/12/16

一、实验目的及要求: 实验目的:(1) 学习可编程定时/计数器8253的工作原理及工作方式; (2)掌握使用8253的应用编程方法,并设计出相应电路在实验箱上正确连接; (3)熟练掌握WAVE6000实验系统的使用 实验要求:1、基本要求:利用8253输出周期为1秒的方波。 2、在8259的IR2端输入中断请求信号,该信号由8253的方波信号产生(频率 1Hz)。每来一个上升沿,申请中断一次,CPU响应后通过输出接口74LS273使发 光二极管亮,第1次中断,LED0亮,第2次中断,LED1亮,…… 第8次中断, LED7亮,中断8次后结束。 二、实验设备 1.计算机 https://www.doczj.com/doc/ba14025428.html,b6000微机实验箱 3.导线若干 三、实验内容 1、基本要求:利用8253输出周期为1秒的方波。 2、在8259的IR2端输入中断请求信号,该信号由8253的方波信号产生(频率1Hz)。每来 一个上升沿,申请中断一次,CPU响应后通过输出接口74LS273使发光二极管亮,第1次中断,LED0亮,第2次中断,LED1亮,…… 第8次中断,LED7亮,中断8次后结束。 四、实验步骤 1、Proteus中的设计: (1)连接好8086与74LS373,如图:

(2)设置38译码器译码:根据74LS273地址为8000H,知A15-A0只有A15为1、其余全为0时,即Y0有效时选中273;8259的地址为9000H,Y1有效时选中8259;8253的地址为A000H,Y2有效时选中8253;将38译码器的A、B、C端口分别接地址A12、A13、A14, 使能端E1接A15,E2、E3都接地,如图:

相关主题
文本预览
相关文档 最新文档