当前位置:文档之家› 电子技术基础实验指导书

电子技术基础实验指导书

电子技术基础实验指导书
电子技术基础实验指导书

《电子技术基础》

实验指导书

电子技术课组编

信息与通信工程学院

实验一常用电子仪器的使用一、实验类型-操作型

二、实验目的

1 、学习电子电路实验中常用的电子仪器一一示波器、函数信号发生器、

直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。

2 、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。

三、实验原理

在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。

实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

1、示波器

交旎老伏忑

函数信弓监生邯

图1-1模拟电子电路中常用电子仪器布局图

示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形, 又能对电信号进行各种参数的测量。现着重指出下列几点:

1)、寻找扫描光迹

将示波器丫轴显示方式置“ Y i ”或“ 丫2”,输入耦合方式置“ GND ,开机预

热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①

。③适当调节垂直(U )、水平 ()

“位移”旋钮,使扫描光迹位于屏幕中央 可按下“寻

迹”按键,判断光迹偏移基线的方向。

踪显示方式和“交替” “断续”二种双踪显示方式。“交替”显示一般适宜于输 入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。

3) 、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内” 触发,使扫描触发信号取自示波器内部的 丫通道。

4) 、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳 定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触 发电压,使被测试的波形稳定地显示在示波器屏幕上。

有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被 测信号的波形不在X 轴方向左右移动,这样的现象仍属于稳定显示。

5) 、适当调节“扫描速率”开关及“ 丫轴灵敏度”开关使屏幕上显示 一?二个周期的被测信号波形。在测量幅值时,应注意将“ 丫轴灵敏度微调” 旋钮置于“校准”位置,即顺时针旋到底,且听到关的声音。在测量周期时, 应注意将“X 轴扫速微调”旋钮置于“校准”位置,即顺时针旋到底,且听到 关的声音。还要注意“扩展”旋钮的位置。

根据被测波形在屏幕坐标刻度上垂直方向所占的格数(div 或cm )与“ 丫 轴灵敏度”开关指示值(v/div )的乘积,即可算得信号幅值的实测值。

根据被测信号波形一个周期在屏幕坐标刻度水平方向所占的格数(

div 或 cm 与

“扫速”开关指示值(t/div )的乘积,即可算得信号频率的实测值。 2 、函数信号发生器

适当调节亮度旋钮。②触发方式开关置“自动”

(若示波器设有“寻迹”按键, 2)、双踪示波器一般有五种显示方式,即“ Y i ”、“Y 2”、“Y i + Y 2” 三种单

函数信号发生器按需要输出正弦波、方波、三角波三种信号波形。输出电压最大可达20V P-P。通过输出衰减开关和输出幅度调节旋钮,可使输出电压在毫伏级到伏级范围内连续调节。函数信号发生器的输出信号频率可以通过频率分档开关进行调节。

函数信号发生器作为信号源,它的输出端不允许短路。

3、交流毫伏表

交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。为了防止过载而损坏,测量前一般先把量程开关置于量程较大位置上,然后在测量中逐档减小量程。

四、实验设备与器件

1 、函数信号发生器

2 、双踪示波器

3、交流毫伏表

五、实验内容

1 、用机内校正信号对示波器进行自检。

1)扫描基线调节

将示波器的显示方式开关置于“单踪”显示(Y或Y),输入耦合方式开关置

“ GND,触发方式开关置于“自动”。开启电源开关后,调节“辉度”、

“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显示一条细而且亮度适中的扫描

基线。然后调节“ X轴位移”(=)和“Y tt位移”(II )旋钮,使扫描线位于屏幕中央,并且能上下左右移动自如。

2)测试“校正信号”波形的幅度、频率

将示波器的“校正信号”通过专用电缆线引入选定的丫通道(Y i或丫2),将Y tt输入耦合方式开关置于“ AC或“DC,触发源选择开关置“内”,内触发源选择开关置“ 丫1”或“Y'。调节X tt “扫描速率”开关(t/div )和刑由“输入灵敏度”开关(V/div ),使示波器显示屏上显示出一个或数个周期稳定的方波波形。

a. 校准“校正信号”幅度

将“ y轴灵敏度微调”旋钮置“校准”位置,“ y轴灵敏度”开关置适当位置,观查校正信号幅度。

b. 校准“校正信号”频率

将“扫速微调”旋钮置“校准”位置,“扫速”开关置适当位置,读取校正信号周期。

2 、用示波器和交流毫伏表测量信号参数

调节函数信号发生器有关旋钮,使输出频率分别为100Hz 1KHz 10KHz lOOKHz有效值均为1V (交流毫伏表测量值)的正弦波信号。

改变示波器“扫速”开关及“ 丫轴灵敏度”开关等位置,?测量信号源输出

电压频率及峰峰值,记入表1-1

表1- 1

3、测量两波形间相位差

1)观察双踪显示波形“交替”与“断续”两种显示方式的特点

Y i、Y2均不加输入信号,输入耦合方式置“ GND,扫速开关置扫速较低挡位(如0.5s /div挡)和扫速较高挡位(如5^S/div挡),把显示方式开关分别置“交替”和“断续”位置,观察两条扫描基线的显示特点,记录之。

2)用双踪显示测量两波形间相位差

①按图1-2连接实验电路,将函数信号发生器的输出电压调至频率为1KHz幅值为1V的正弦波,经RC移相网络获得频率相同但相位不同的两路信号U i和U R,分别加到双踪示波器的Y i和Y输入端。

为便于稳定波形,比较两波形相位差,应使内触发信号取自被设定作为测量基准的一路信号。

图1—2两波形间相位差测量电路

②把显示方式开关置“交替”挡位,将Y i和Y2输入耦合方式开关置“丄” 挡位,调节Y.、Y2的(|| )移位旋钮,使两条扫描基线重合。

③将丫1、丫2输入耦合方式开关置“ AC挡位,调节触发电平、扫速开关及Y.、丫2灵敏度开关位置,使在荧屏上显示出易于观察的两个相位不同的正弦波

形U i及U R,如图1-3所示。根据两波形在水平方向差距X,及信号周期X T,则可求得两波形相位差。

式中:X T —— 一周期所占格数

X ――两波形在X 轴方向差距格数

记录两波形相位差于表1- 2。

表1-2

一周期格数 两波形 相

位 差 X 轴差距格数

实测 值计算值 X T = x = 9 = 9 =

为数读和计算方便,可适当调节扫速开关及微调旋钮,使波形一周期占整 数格。

六、实验总结

1、整理实验数据,并进行分析

2、 问题讨论

X(div)

X T (div)

3600

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

广西大学模拟电子技术实验答案汇总

实验一、 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1.为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程 开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2.读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的 示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1.时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋 钮,将时基线移至适当的位置。

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

大连理工大学 《模拟电子线路实验》实验报告

网络高等教育 《模拟电子线路》实验报告 学习中心:咸阳远程网络教育学校奥鹏学习中心 层次:高中起点专科 . 专业:电力系统自动化技术 . 年级: 2015 年春季 . 学号 161586128155 . 学生姓名:惠伟 .

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002 型数字存储示波器和信号源的基本操作方法。 二、基本知识 4.简述模拟电子技术实验箱布线区的结构及导电机制。 答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。配有2 只8 脚集成电路插座和 1 只14 脚集成电路插座。结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 5.试述NEEL-03A型信号源的主要技术特性。 答:NEEL-03A 型信号源的主要技术特性: ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz 连续可调; ③幅值调节范围:0~10VP-P 连续可调; ④波形衰减:20dB、40dB; ⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 6.试述使用万用表时应注意的问题。 答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

模拟电子技术实验II指导书(2017版)

模拟电子技术实验II 教学指导书 课程代码:021********* 湘潭大学 信息工程学院 2017年10月8日

前言 一、实验总体目标 本课程为电子信息类专业本科生的学科基础课程。通过实验培养学生理论联系实际的能力,提高学生的动手能力、分析问题和解决问题的能力。通过规范的实验操作训练,使学生学会操作常用的电子仪器设备,掌握基本的模拟电路构建方法和实验调试的基本技能。 1.掌握常用电子仪器的选用及测试方法。 2.针对简单的模拟电路,能正确调试电路参数,掌握基本参数测试与功能分析方法。 3.针对简单的工程问题,能依据实验故障现象,分析问题并解决问题。 4.能正确观察实验现象、记录实验数据、并自拟部分数据表格,并通过正确分析实验结果,得出结论,撰写符合要求的实验报告。 5. 具备电子电路仿真软件的初步应用能力。 二、适用专业年级 电子信息类专业二年级本科学生。 三、先修课程 大学物理、电路分析基础、模拟电子技术实验II 四、实验项目及课时分配 五、实验环境 模拟电路实验台:72套。主要配置:多种模拟电路实验模块、直流电压源、直流电压表、万用表、信号发生器、示波器、交流毫伏表等,仿真实验配置:PC机、Multisim 10电路仿真分析仿真软件。 六、实验总体要求 1、每次实验前预习实验原理,做好实验方案设计和理论计算,仿真分析观察与测试,提交实验预习报告; 2、正确使用电压表、万用表、信号发生器、示波器、交流毫伏表等实验设备; 3、按电路图联接实验线路和合理布线,能初步分析并排除故障; 4、具有根据实验任务确定实验方案、设计实验线路和选择仪器设备的初步能力; 5、认真观察实验现象,正确读取实验数据和记录实验波形并加以检查和判断,分析实验结果,正确撰写实验报告。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

电子技术基础实验报告

电子技术实验报告 学号: 222014321092015 姓名: 刘 娟 专业: 教育技术学 实验三 单级交流放大器(二) 一、 实验目的 1. 深入理解放大器的工作原理。 2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。 3. 观察电路参数对失真的影响. 4. 学习毫伏表、示波器及信号发生器的使用方法。 二. 实验设备: 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路。 2、了解饱和失真、截止失真和固有失真的形成及波形。 3、掌握消除失真方法。 四、实验内容及步骤 ● 实验前校准示波器,检查信号源。 ● 按图3-1接线。 图3-1 1、测量电压参数,计算输入电阻和输出电阻。 ● 调整RP2,使V C =Ec/2(取6~7伏),测试V B 、V E 、V b1的值,填入表3-1中。 表3-1 ● 输入端接入f=1KHz 、V i =20mV 的正弦信号。 ● 分别测出电阻R 1两端对地信号电压V i 及V i ′按下式计算出输入电阻R i : ● 测出负载电阻R L 开路时的输 出电压V ∞ ,和接入R L (2K )时的输出电压V 0 , 然后按下式计算出输出电阻R 0; 将测量数据及实验结果填入表3-2中。 2 中。 ● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。 ● 逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失

真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。) ● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失 真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。 表 3-3 ● 调节R P2使输出电压 波形不失 真且幅值 为最大(这 时的电压放大倍数最大),测量此时的静态工作点V c 、V B 、V b1和V O 。 表 3-4 五、实验报告 1、分析输入电阻和输出电阻的测试方法。 按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。 2、讨论静态工作点对放大器输出波形的影响。 静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。 实验四 负反馈放大电路 一、 实验目的 1、熟悉负反馈放大电路性能指标的测试方法。 2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备 1、实验台 2、示波器 3、数字万用表 三、预习要求 1、熟悉单管放大电路,掌握不失真放大电路的调整方法。 2、熟悉两级阻容耦合放大电路静态工作点的调整方法。 3、了解负反馈对放大电路性能的影响。 四、实验电路

模拟电子技术实验指导书

《模拟电子技术》实验教学指导书课程编号:1038181007 湘潭大学 信息工程学院电工与电子技术实验中心 2007年11月30日

前言 一、实验总体目标 通过实验教学,使学生巩固和加深所学的理论知识,培养学生运用理论解决实际问题的能力。学生应掌握常用电子仪器的原理和使用方法,熟悉各种测量技术和测量方法,掌握典型的电子线路的装配、调试和基本参数的测试,逐渐学习排除实验故障,学会正确处理测量数据,分析测量结果,并在实验中培养严肃认真、一丝不苟、实事求是的工作之风。 二、适用专业年级 电子信息工程、通信工程、自动化、建筑设施智能技术等专业二年级本科学生。 三、先修课程 《高等数学》、《大学物理》、《电路分析基础》或《电路》。 网络化模拟电路实验台:36套(72组) 主要配置:数字存储示波器、DDS信号发生器、数字交流毫伏、模块化单元电路板等。 六、实验总体要求 本课程要求学生自己设计、组装各种典型的应用电路,并用常用电子仪器测试其性能指标,掌握电路调试方法,研究电路参数的作用与影响,解决实验中可能出现各种问题。 1、掌握基本实验仪器的使用,对一些主要的基本仪器如示波器、、信号发生器等应能较熟练地使用。 2、基本实验方法、实验技能的训练和培养,牢固掌握基本电路的调整和主要技术指标的测试方法,其中还要掌握电路的设计、组装等技术。 3、综合实验能力的训练和培养。 4、实验结果的处理方法和实验工作作风的培养。

七、本课程实验的重点、难点及教学方法建议 本课程实验的重点是电路的正确连接、仪表的正确使用、数据测试和分析; 本课程实验的难点是电路的设计方法和综合测试与分析。 在教学方法上,本课程实验应提前预习,使学生能够利用原理指导实验,利用实验加深对电路原理的理解,掌握分析电路、测试电路的基本方法。

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

相关主题
文本预览
相关文档 最新文档