当前位置:文档之家› 实验六复杂模型机的设计与实现

实验六复杂模型机的设计与实现

实验六复杂模型机的设计与实现
实验六复杂模型机的设计与实现

实验六复杂模型机的设计

与实现

The following text is amended on 12 November 2020.

实验五复杂模型机的设计与实现

一、实验目的

综合运用所学计算机原理知识,设计并实现较为完整的计算机。

二、实验设备

Dais-CMX16+计算机组成原理教学实验系统一台,实验用导线若干。

三、数据格式及指令系统

1.数据格式

其中第7

2.指令格式

模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。

⑴ 算术逻辑指令

设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:

其中,OP-CODE为操作码,

其中

9条算术逻辑指令的名称、功能和具体格式见表5-1。

⑵ 访问指令及转移指令

模型机设计2条访问指令,即存数(STA)、取数(LDA),2条转移指令,即无条件转移(JMP)、结果为零或有进

位转移指令(BZC

STA指令使用)。D为十六

⑶ I/O指令

输入(IN)和输出(OUT

⑷ 停机指令 指令格式如下:

HALT 3. 指令系统

本模型机共有16条基本指令,其中算术逻辑指令9条,访问内存指令和程序控制指令4条,输入输出指令2条,其它指令1条。下表列出了各条指令的格式、汇编符号、指令功能。

图5-1复杂模型机微程序流程图

按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码,并将二进制代码表转换成十六进制格式文件。

四、实验方法

㈠键盘操作

⑴首先卸去实验连接,然后按如下操作,把系统工作方式设为“微控/在线”。

在待命状态0

下按【减址】键,LCD 显示器显示工作模式选项:

按【增址】键,将光标移到“MUD ”微程序模式,按【减址】键确定后,系统先询问用户是否使用搭接方式,按【增址】键选择“y ”(搭接)或“n ”(在线),按【减址】键确定:

接着系统询问用户是否使用扩展I/O 方式,按【增址】键选择“y ”(扩展I/O )或“n ”(微控制器关联),按【减址】键确定:

确定设置后,系统返回待命状态0。

⑵机器程序与对应的微控制程序的写入:

在待令状态下,键入数字键“4”(复杂模型机代号),然后再键入【减址】命令键,实验装置自动装载由数字键定义的模型机机器程序及对应的微程序,装载完毕自动返待令态。

⑶运行程序

①单拍运行:每按一次【单拍】按钮模型机运行一拍,系统提供可变时序,非“取指”微周期次序循环。

②微单步:每按一次【单步】命令键运行一条微指令,对照微程序流程,观察微址是否和流程一致。对照微指令表,观察执行结果是否和理论值一致。

③宏运行(指令单步或宏调用):每按动一次【宏运】命令键,运行一条机器指令。对照机器指令程序,观察PC 地址是否和流程一致。

④程序运行与暂停:按动【运行】命令键使模型机进入实时运行状态;在实时运行状态按左下方任一数字键即可暂停模型机程序的运行,以便实验者查看模型机现场。

㈡联机运行

双击桌面“Dais-CMX 集成开发环境”图标进入联机模式

在联机状态下,首先应打开(复杂模型机机器指令及对应微指令代码文件),然后点击工具栏“装载”按钮开始装载,如源程序无语法错误即可完成装载,进入调试状态。可点击工具栏快捷按钮,详细操作如下:

Dais-CMX16+ ’mud

设置完毕,返回待命状态

Dais-CMX16+ ’mud lst y/n I/O /n

Dais-CMX16+ ’mud lst y/nI/O y/

是,扩展I/O 方式

否,选择微控制器关联方式

Dais-CMX16+ ’mud lst /n I/O y/n

Dais-CMX16+ ’mud lst y/I/O y/n

是,选择搭接方式,须连线

否,选择在线方式,零连线

Dais-CMX16+ ’XXX KLD PLD

选择手动模式

单节拍:单击菜单“运行→单拍运行微指令”命令或单击工具栏“单拍”按

钮,以单节拍方式按T1~T4顺序逐步运行微程序。

单周期:单击菜单“运行→单步运行微指令”命令或单击工具栏“微单步”

按钮,以单周期(T1~T4为一个机器周期)方式逐步运行微程序。

应用级调试

在机器指令的层面进行逐步调试,面向应用程序,帮助用户了解机器指令的执行结果。

单步机器指令:单击菜单“运行→单步运行机器指令”命令或单击工具栏

“单步”按钮,以逐步指令方式执行机器程序,遇CALL调用时跟踪进入。

宏单步机器指令:单击菜单“运行→宏单步运行机器指令”命令或单击工具

栏“宏单步”按钮,以逐步指令方式执行机器程序,遇CALL调用时跨越执行。

全速运行

单击菜单“运行→全速运行”命令或单击工具栏“运行”按钮,忽略实现细节,以全速方式运行机器指令、微指令,用来验证应用程序的运行结果。

暂停与复位

暂停:当实验系统进入全速运行、自动单步等状态时,可随时单击菜单“运行→暂停”命令或单击工具栏“暂停”按钮,使实验系统暂停当前运行的程序,并展现暂停后的模型机现场,帮助用户观察各部件的状态。

复位:在待命状态下,单击菜单“运行→复位”命令或单击工具栏“复位”按钮,可对模型机进行复位操作,初始化所有寄存器和标志位。注:复位操作不会破坏程序存储器、微程序存储器的内容。

微址M23M22M21M20M19M18M17M16

代码

M15M14M13M12M11M10M9M8

代码

M7M6M5M4M3M2M1M0

代码后续微址说明E/M IP MWR R/M o2o1o0OP M CN S2S2S0X2X1X0XP W ALU Iu IE IR Icz Ids

00011111111FF11111111FF11111111FF+1空操作00110111111BF11111011FB11111010FA可变IBUS→IR 400011100017111111011FB11101101ED001EM→RD 408010111115F11111001FB11101101ED001RD→EM 410001111113F11000110C6001011012D001BX→PC 41811111111FF11000110FF11110100F4420+CZ条件变址42011111111FF11111111FF11101101ED001空操作421001111113F11000110C6001011012D001BX→PC 60011111010FA11111011FB11111111FF+1EM→BL 60110111011BB11111011FB11111111FF+1EM→BH 60210111100BC11000110C60010011026400+OP BX→AR 62011111010FA11111011FB11111111FF+1EM→BL 62110111011BB11111011FB11111111FF+1EM→BH 62211111100FC11000110C611111111FF+1BX→AR 623011110107A11111011FB001011112F602EM→BX 64011111010FA11111011FB11111111FF+1EM→BL 64110111011BB11111011FB11111111FF+1EM→BH 64211111000F811111101FD001011112F663RI→AX 64311111010FA0110011066001011112F602A+B→BX

续下表……

1.总结本次复杂模型机的机器指令类型。

2.将实验时用到的那几条机器指令转化成对应的微指令。以单拍方式进行描述。

3.自己设计1条机器指令,并设计这条机器指令对应的微指令。

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/b9782824.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

建筑模型制作实验报告

建筑模型制作实验报告 Company Document number:WUUT-WUUY-WBBGB-BWYTT-1982GT

学生实验报告 (理工类) 课程名称:规划设计模型制作专业班级:城乡规划 学生学号:学生姓名: 所属院部:建筑工程学院指导教师:刘琰 2014——2015学年第 2 学期 金陵科技学院教务处制

实验项目名称:江宁校区总体规划模型制作实验学时:24学时 同组学生姓名: 实验地点:实验楼B203 实验日期:实验成绩: 批改教师:刘琰批改时间: 一、实验目的和要求 目的:1、学习利用规划模型分析总平面的布局 2、学习规划模型的制作方法 要求:在读懂图纸的基础上,通过对空间、功能、结构、环境、流线、体量、外观、平面到剖面、几何关系、基本形状、逻辑关系等方面进行总体分析, 理清建筑平面和空间的组成关系,理清建筑与道路的关系,最后完成规划 模型的制作。 二、实验仪器和设备 1.测绘工具 三棱尺(比例尺) 、直尺、三角板、弯尺 (角尺) 、圆规、游标卡尺、蛇尺等。 2.剪裁、切割工具 勾刀、刻刀、裁纸刀、角度刀(45o) 、切圆刀、剪刀、手锯、钢锯、电磨机、电热切割器等。 3.打磨喷绘工具 砂纸、锉刀、什锦锉、木工刨、台式砂轮机。 4.粘合剂 三、实验过程

第一次模型制作实验课在工科楼模型教室,之前老师在多媒体教室跟我们讲解了模型制作的工具,材料等基本知识,发任务书。 这一次在模型教室老师带我们参观了一下往届做的模型,看到学姐学长的作品时,感觉有点震惊,稍微有点不自信,但是在我们仔细参观与讨论我们自己组用的材料与制作流程后,我立马又斗志昂扬了起来。参观完往届作品后,我们确定小组成员,小组开始确定制作模型所需的材料,大致分配了任务,男生做模型,女生做细节部分。我们组的组员经过积极热烈的讨论,初步确定了地形,草,建筑的材料,地形采用灰色纸板,草为普通草皮,多数建筑为PVC板为骨架,少部分为泡沫,同时大概制定了制作流程与方案。 方案确定后,我们小组成员在第二天就全部出发去购买制作模型所需的材料,我们按着讨论后的清单购买,包括灰色的卡纸、厚泡沫板、薄木板、PVC板、树粉、树干,草皮,胶水等一系列材料。 感悟:在此次购买中,我们小组有着很激烈的讨论,虽然在昨天已确定好清单,但是到了店里发现我们考虑的还是不够周全。 第二次模型制作实验课我们通力合作,用木板做底将买来的厚泡沫板做第二层底,上面再铺一层厚的PVC板,层与层之间用双面胶与泡沫胶粘合。其实我们在黏板的事先并没想好用什么黏,我们是在仔细观察了其他的组用的粘合材料后经过比较后讨论决定的,这也算取长补短了。我们一边黏一边试试粘合的效果,感觉比较结实。然后用复写纸将打印好的cad 地形描到买好的灰色卡纸上,而我则负责将地形上的绿地剪出来,作为之后剪草皮的模板。这是一件费时费力的工作,因为老师给我们的学校地形

复杂模型机实验报告.

信息学院

运行 PC→AR PC+1 RAM→BUS BUS→IR P1 PC→AR PC+1 RS→BUS BUS→DR1 ALU=0→BUS BUS→RD SW→BUS BUS→RD 00(直接)CLR RD→BUS BUS→DR2 DR1+DR2→ BUS→RD 01 01 01 01 02 20 212325 52 53 31 27 RS→RD RS→299 RRC 299→RD RS→299 RLC 299→RD 01 3032 54 55 36 67 70 IN MOV RRC SUC RLC RD→LED 01 STOP 01 26 24 ADC RS→BUS BUS→DR2 RD→BUS BUS→DR1 DR1→DR1 DR1+1→ BUS→DR1 DR1→DR1 DR1+DR2→ BUS→RD 56 57 60 61 RD→BUS BUS→DR1 RS→BUS BUS→DR1 RD→BUS BUS→DR1 35 0101 INC DR1+1→ BUS→RD 01 01 01 34 62 33 RD→BUS BUS→DR2 63 DR1^DR2→ BUS→RD 65 AND 66 PC→AR PC+1 PC→AR PC+1 PC→AR PC+1 20 RAM→BUS BUS→DR1 03 RAM→BUS BUS→AR 04 RAM→BUS BUS→DR1 06 RAM→BUS BUS→AR 05 RAM→BUS BUS→AR 07 40 RAM→BUS BUS→DR1 15 22 RI→DR2 16 DR1+DR2→ BUS→AR 17 DR1+DR2→ BUS→DR1 45 RAM→BUS BUS→DR1 46 PC→BUS BUS→DR2 47 DR1+DR2→ BUS→AR 50 DR1+DR2→ BUS→DR1 51 72 P2 RAM→BUS BUS→RD 40 RD→BUS BUS→RAM 41 DR1→BUS BUS→PC 4243 P3 DR1→BUS BUS→PC 6444 010101 BZC JMP STA LAD 10(变址) 01(间接)11(相对)COM 40 4040 01 01 44 01 Y N P4 PC→AR PC+1 PC→AR PC+1 SW→BUS BUS→DR1 DR1→RAM RAM→BUS BUS→DR1 DR1→LED 01 00 11 14 74 10 12 73 13 WRITE(01)READ(00)RUN(11) SW B 10 →B U S B U S→R D 1 DR DR1→DR1 37 71 SWA 图2-8复杂模型机微程序流程图 H L T A OUT 六、实验结果: (1)取in指令送IR: (2)采集从数据开关输入的数据07H并送R0:

模型制作实验报告

模型制作实验报告 1、实验目的与要求 通过本次实验练习模型制作,熟悉建筑模型材料的种类、特性,学会使用钢尺、美工刀等模型制作工具,基本掌握模型的制作技法。为将来在箭镞设计课程中使用模型推敲方案打下基础。要求根据课程设计命题,结合自身设计概念制作模型,可以有一定的取舍,不能有大的错误,制作认真仔细,整体模型干净利落。最后完成得模型要求按照自己的设计方案,体块表现清楚,有自己的风格。 2、实验方案: 结合课程设计的进度,在一草方案后制作工作模型,用于推敲建筑环境、建筑体量、材料、色彩等方面要素,学习以制作模型的形式激发创作灵感、推进方案设计。在基本明确建筑设计方案后进行模型制作设计,选用卡纸、PVC板等作为主材,适用选用色纸、瓦楞纸、型材等作为辅材,利用钢尺、美工刀、模型胶等工具制作建筑模型呈现设计方案。 3、实验过程和数据处理: 听取了专业老师的意见后,我使用了pvc板(厚度为2cm)和kt板作为这次作业的模型主要材料。Pvc板作为主模型的材料,因为其比较结实,不容易被破坏,而且表面平滑,外观看起来十分规整。而kt板则作为模型底座的材料,在kt板上容易插入模型花和粘贴模型人,但是kt板不能与502胶水接触,其会被腐蚀。所以在制作模型时,对于底座的粘合,我使用的是u胶,而pvc板的粘合我会根据需要,使用u胶和502胶水。这次制作模型需要用到的工具中,有手术刀,ut刀,直尺、90度尺、切割板u胶、502胶水等。 考虑到这次制作的模型是塑料模型,因此所需用到的工具比较少。而这次制作模型的手法,鉴于我是大一新生,在经济和知识掌握程度的限制上,我是手工制作模型的。在制作模型时,有直接粘合、镶嵌粘合和穿插的步骤。在制作模型时,我曾经遇到因为粘合位置特殊的原因,很难把两块pvc板粘合在一起或者由于柱子太长,不能轻易与pvc板粘合的问题。一开始我是使用u胶粘合的,但后来发现,原来在一些地方,可以用502胶水作粘合剂,但是值得注意的是,在使用502胶水前,应该确认是否这样粘合,一旦粘合错了,分离工作会很难,而且强制分离会破坏pvc板。另外,在制作模型是,我会发现自己设计的建筑,有些地方做起模型来,会有比较大的难度,会花比较多的时间,于是自己会在考虑是否应该对原来的设计方案进行修改,而如何修改,这又是需要慢慢去思考的,因此,在做模型的时候会发现不少的对设计有用或使你感到困惑的东西。在数据处理方面,我认为做模型对数据的处理十分有用,因为当你把设计从二维转化为三维时,你会发现,你所定的数据不适合人体的模度,对于整个场地的迎合十分不适合。当然,在处理数据时,一些建筑规范是不能忽略的,你的数据可能是不可能实现的东西。因此,在数据处理是,要遵守人体的模度、整个场地的迎合和建筑规范来进行。另外,在处理数据时,我一般时先定大范围的数据,在处理小地方的数据的。可能两方面一起处理会比较好,这我会更加留意这一点。而在数据的整理时,对于复杂的数据,我通常是结合场地的情况稍作调整,当你做出一个模型时,1:20或更大的比例模型用于观察这建筑是否适合人的模度,1:100或更小的比例模型用于观察这建筑是否迎合整理环境的。我制作了1:100和1:50的模型进行分析,最后定出了我的模型方案。

复杂模型机

计算机组成原理实验报告 题目复杂模型机设计实验 专业计算机科学与技术 姓名张蕾 学号 1310632

目录 一、实验目的 二、实验原理 1 数据格式 2 指令格式 三、实验内容 四、实验代码设计思想 1 机器程序设计 2 微代码设计 五、实验代码 六、实验接线图 七、实验总结

一、实验目的 (1)综合运用所学计算机原理知识,设计并实现较为完整的计算机。 (2)加深对计算机各组成部件之间的相互关系以及指令系统设计方法的理解。 二、实验原理 CPU由运算器(ALU),微程序控制器(MC),通用寄存器(R0),指令寄存器(IR),程序计数器(PC)和地址寄存器(AR)组成,通过写入相应的微指令后,就具备了执行机器指令的功能。 1.数据格式 模型机规定采用定点补码表示法表示数据,字长为8位,8 位全用来表示数据(最高位不表示符号),数值表示范围是: 0≤X≤2^8-1。 2.指令设计 该复杂模型机设计包含运算类指令、控制类指令、数据传输类指令三大类指令。 (1)运算类指令仅用到了算术运算,算术运算设计有 3 条运算类指令,分别为:ADD(两寄存器值加法)、INC(寄存器值自加1)、SUB(两寄存器值减法),所有运算类指令都为单字节,寻址方式采用寄存器直接寻址。 (2)控制转移类指令有三条HLT(停机)、JMP(无条件跳转到指定的指令地址)、BZC(判断寄存器内容是否为0,为0则跳转到指定的指令地址),用以控制程序的分支和转移,其中HLT为单字节指令,JMP 和BZC 为双字节指令。 (3)数据传送类指令有IN、OUT、LDI、LAD、STA 共5 条,用以完成寄存器和寄存器、寄存器和I/O、寄存器和存储器之间的数据交换,均为双字节指令。 3.指令格式 所有单字节指令具有相同的指令格式,如下图所示: 7654 32 10 OP-CODE RS RD 其中4位OP-CODE为操作码,2位RS为源寄存器,2位RD为目的寄存器,并规定: RS或RD 选定的寄存器 00 R0 01 R1 10 R2 11 R3 IN和OUT的指令格式为: 7654 32 10 7~0 OP-CODE RS RD P IO地址空间被分为4个区如表所示: A7A6 选定地址空间 00 IOY0 00~3F 01 IOY1 40~7F

实验六 复杂模型机的设计与实现

实验五 复杂模型机的设计与实现 一、实验目的 综合运用所学计算机原理知识,设计并实现较为完整的计算机。 二、实验设备 Dais-CMX16+计算机组成原理教学实验系统一台,实验用导线若干。 三、数据格式及指令系统 1. 数据格式 8 其中第7位为符号位,数值表示范围是:≤<。2. 指令格式 模型机设计四大类指令共16条,其中包括算术逻辑指令、I/O 指令、访问及转移指令和停机指令。 ⑴ 算术逻辑指令 设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下: 其中,OP-CODE 为操作码,Rs 为源寄存器, Rd 为目的寄存器,并规定: 其中9条算术逻辑指令的名称、功能和具体格式见表5-1。 ⑵ 访问指令及转移指令 模型机设计2条访问指令,即存数(STA )、取数(LDA ),2条转移指令,即无条件转移(JMP )、 结果为零或有进 位转移指令(BZC ),指令格式为: 其中“0 0 M ”为源码段,2OP-CODE 为目的码段(LDA 、STA 指令使用)。D 为十六位地址段(低八在前,高八随后),M ⑶ I/O 指令

输入(IN)和输出(OUT ⑷停机指令 指令格式如下: HALT指令,用于实现停机操作。 3.指令系统 本模型机共有16条基本指令,其中算术逻辑指令9条,访问内存指令和程序控制指令4条,输入输出指令2条,其它指令1条。下表列出了各条指令的格式、汇编符号、指令功能。 图5-1复杂模型机微程序流程图 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码,并将二进制代码表转换成十六进制格式文件。 源编码目的编码

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

简单模型机实验报告

评语:课中检查完成的题号及题数: 课后完成的题号与题数: 成绩:自评成绩: 实验报告 实验名称:__________ 简单模型机实验报告____________ 日期: _________________ 班级:学号:姓名: -、实验目的: 1掌握一个简单CPU的组成原理。 2、在掌握部件单元电路的基础上,进一步将其构造一台基本模型计算机。 3、为其定义五条机器指令,编写相应的微程序,并上机调试掌握整机概念。 二、实验内容: 本实验要实现一个简单的CPU并且在此CPU的基础上,继续构建一个简单的模型计算机。CPU由运算器(ALU、微程序控制器(MC、通用寄存器(R0,指令寄存器(IR)、程序计数器(PC和地址寄存器(AR组成,如图2-1-1所示。这个CPU在写入相应的微指令后,就具备了执行机器指令的功能,但是机器指令一般存放在主存当中,CPU必须和主存挂接后,才有实际的意义,所以还需要在该CPU的基础上增加一个主存和基本的输入输出部件,以构成一个简单的模型计算机。

图1-4-1基本CPU 构成原理图 除了程序计数器(PC ,其余部件在前面的实验中都已用到,在此不再讨论。系统 的程序计数器(PC 由两片74LS161和一片74LS245构成,其原理如图1-4-2所示。PC_B 为三态门的输出使能端,CLR 连接至CON 单元的总清端CLR 按下CLR 按钮,将使PC 清 零,LDPC 和T2相与后作为计数器的计数时钟,当LOAD 为低时,计数时钟到来后将CPU 内总线上的数据打入PG 图1-4-2程序计数器(PC )原理图 本模型机和前面微程序控制器实验相比,新增加一条跳转指令 JMP 共有五条指令: OUT (输出)、JMP (无条件转移),HLT (停 机), 其指令格式如下(高4位为操作码): 助记符 机器指令码 说明 IN 0010 0000 IN — R0 ADD 0000 0000 R0 + R0 — R0 OUT 0011 0000 R0 — OUT JMP addr 1100 0000 ******** addr — PC HLT 0101 0000 停机 址码。微程序控制器实验的指令是通过手动给出的,现在要求 CPU 自动从存储器读取指 令并执行。根据以上要求,设计数据通路图,如图 1-4-3所示。 IN (输入)、ADD (二进制加法)、 其中JMP 为双字节指令,其余均为单字节指令, ******** 为addr 对应的二进制地 LDPC T2 CLR LOAD

工业设计-石膏模型制作实验报告

《模型制作》 实 验 报 告

实验名称:石膏模型制作 姓名学号 实验目的: 1、掌握石膏模型材料与调制方法 2、掌握石膏成型技法 实验材料、用具:石膏粉、水、水盆、夹板、C形钳、保鲜膜、胶合板 实验过程: 1、用夹板在胶合板上围成一个方形区域, 用C形钳对夹板进行固定,之后将鼠标模型放 置在围成的方形区域正中间。 2、将保鲜膜裁成一定大小,在鼠标木模 拍上水,把保鲜膜敷在鼠标木模上。 3、调制石膏浆,用小盆接适量的水,抓 一把熟石膏粉放进去,之后,边搅拌边添加熟石膏粉,两人配合,搅拌适当时,停止加料。 4、将和好的石膏浆倒入围好的方形区域 内,注意不要倒偏,可用手边倒边用手拨,石膏 浆超出夹板一部分,另外找一块胶合板-将石膏 浆表面刮平,待石膏浆有一定硬度后,将成型的 石膏模翻过来,并迅速取出鼠标模型。 5、打开夹子,撤掉夹板,修补制作好的 石膏阴模。修补完成后,放置在一处较平坦的 地方,待其晾干。 6、取出晾干的模子,在模型腔上覆上一 层保险模,和熟石膏粉,步骤跟之前做阴模时 一样。将和好的石膏浆拨进模型腔,把表面刮

平,挂掉多余的部分。 7、待石膏有一定温度时,将做好的鼠标石 膏模型取出,进行修补,用手沾水对多余部分进 行处理,将坑洼处,用石膏料补平。 实验体会: 石膏模型的制作,过程不太繁琐,挺适合用 来做设计成品的初步表达,但考虑到石膏的固化特性,不能用来做太大的模型。 还有,在制作过程中,对石膏的基本特性及使用有所了解,知道在那一块儿该注意,比如:石膏浆不能和的太稀,太稀就要花大把的时间去等待其发干,这样就会费很多时间,并且不便于对石膏进行再处理。太稠也不行,干的快,还没倒完料,石膏浆就成干块了,干了后,木质的鼠标模型就不容易脱出。所以,调制石膏浆很重要,得把握好用谁的量。 在翻模与脱模的时候也很关键,动作要迅速,及时对模型进行修补和修饰,这样才能做出一件相当不错的石膏模型。

计算机组成原理--实验报告

实验一寄存器实验 实验目的:了解模型机中各种寄存器结构、工作原理及其控制方法。 实验要求:利用CPTH 实验仪上的K16..K23 开关做为DBUS 的数据,其它开关做为控制信号,将数据写入寄存器,这些寄存器包括累加器A,工作寄存器W,数据寄存器组R0..R3,地址寄存器MAR,堆栈寄存器ST,输出寄存器OUT。 实验电路:寄存器的作用是用于保存数据的CPTH 用74HC574 来构成寄存器。74HC574 的功能如下: - 1 -

实验1:A,W 寄存器实验 原理图 寄存器A原理图 寄存器W 原理图连接线表: - 2 -

- 3 - 系统清零和手动状态设定:K23-K16开关置零,按[RST]钮,按[TV/ME]键三次,进入"Hand......"手动状态。 在后面实验中实验模式为手动的操作方法不再详述. 将55H 写入A 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据 55H 置控制信号为: 按住STEP 脉冲键,CK 由高变低,这时寄存器A 的黄色选择指示灯亮,表明选择A 寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据55H 被写入A 寄存器。 将66H 写入W 寄存器 二进制开关K23-K16用于DBUS[7:0]的数据输入,置数据66H

置控制信号为: 按住STEP脉冲键,CK由高变低,这时寄存器W 的黄色选择指示灯亮,表明选择W寄存器。放开STEP 键,CK 由低变高,产生一个上升沿,数据66H 被写入W 寄存器。 注意观察: 1.数据是在放开STEP键后改变的,也就是CK的上升沿数据被打入。 2.WEN,AEN为高时,即使CK有上升沿,寄存器的数据也不会改变。 实验2:R0,R1,R2,R3 寄存器实验 连接线表 - 4 -

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

《牙齿痕迹实验》实验报告(参考模型)(学校教学)

页脚* 1 《牙齿痕迹实验》实验报告 指导教师:王纬东 实验时间: 2015-06-06 制作人: 天气情况:晴 温度: 26摄氏度 湿度:18 实验器材与样品:红白大样膏,蜡纸,光学显微镜,纸杯,热水 实验名称 实验一、牙齿痕迹实验 实 验 目的 了解人牙排列规律,掌握牙齿宽度、牙弓形态与牙位的分析方法;掌握牙齿宽度、牙弓宽度、 牙弓深度及牙齿之间相对夹角的测量方法;掌握利用牙科打样膏制作牙齿痕迹样本的操作方法。 实 验 原理 恒牙列形成后,能在相当长的时期内保持稳定,这是牙齿痕迹检验、鉴定的基本条件;医用打样膏能够在加热的条件下被塑造成需要的形态,冷却即可定型,能够如实的反映牙齿的结构特征。 教 学方法 制作牙齿痕迹,观察和认识特征。 方 案 设 计 及 教 学 过 程 实验内容 (一) 制做牙齿痕迹样本 取出一块牙科打样膏,浸泡在装有70℃~80℃的热水的一次性纸杯中,待打样膏软化后立即取出,手动塑成与牙弓大小相近的形状,然后将打样膏置于口腔中,上、下颌正常咬合,待打样膏硬化后取出,置于装有冷水的一次性纸杯中冷却,待完全硬化定型后,即制备成了牙齿痕迹样本。 (二)牙齿痕迹测量 1.测量牙冠宽度 2.测量牙弓宽度与牙弓深度 3.描绘牙列曲线 (三)观察痕迹确定特征 观察牙齿痕迹样本,确定牙齿的排列规律;确定畸形、病变牙的数量、部位和种类;分析正常牙列或由畸形牙和病变牙构成的牙列特征的各自特点,分析特征的特定意义。分析牙齿痕迹的特征反映及特征的特定性,分析前牙痕迹在检验中的作用与意义。 (四)根据牙齿痕迹样本的测量数据、观察结果、分析意见写出实验报告。 注意事项 使用牙科打样膏制作牙模时,要控制咬合的力度,不可反复咬合,否则会破坏牙齿痕迹 实验作业 (一)要求每位学员个人独立操作,制做牙齿痕迹样本。 (二)保存好实验模型。 实验现象、数据与分析:牙冠宽度、单牙尺寸、牙弓宽度、牙弓深度、牙齿相对夹角等数据的分析,自己依据上面实验自我分析。

计算机组成原理课程设计模型机实验报告 精品

实践报告 计算机组成原理--模型机设计报告 作者姓名: 专业:计算机科学与技术 学号: 指导教师: 完成日期:年月号 ******学院 计算机工程系

摘要 “计算机组成原理”是计算机科学与技术系的一门核心专业基础课程,在计算机专业中起了很重要的作用。课程中分部分介绍了计算机的各个部件,我们有必要将它们组合起来以对计算机有一个整体的认识。这次课程设计通过对一个简单模型机的设计与实现,是我们对计算机的基本组成、部件的设计、部件间的连接有更深的理解。依次设计计算机的几个部件并进行连接使成为一个完整的模型机。通过运行和调试,使之正常工作。 关键词:运算器;控制器;存储器;输入输出接口;模型机

正文: 一、课设目的要求: 《计算机组成原理》是一门理论性、实践性均较强的专业基础课,要求学生具有一定的电路分析、指令系统编写能力、软件设计能力。通过计算机组成原理实践周,要突出《计算机组成原理》理论联系实际的特点,培养实践动手能力。 1.培养学生运用理论知识和技能,构建建立问题逻辑结构,锻炼学生分析解决实际 问题的能力。 2.培养学生使用PROTEUS软件分析和设计计算机内部器件的方法和技巧。 3.培养学生调查研究、查阅技术文献、资料、手册以及编写技术文献的能力。 4.通过实践设计,要求学生在指导教师的指导下,独立完成设计课题的全部内容, 包括: (1)通过调查研究和上机实习,掌握PROTEUS软件的设计和仿真调试技能。 (2)掌握计算机系统的组成结构及其工作原理。 (3)设计实现一个简单计算机的模型机,并能够使用PROTEUS软件进行电路仿真验证 二、课设内容: 利用所学的计算机结构和工作原理的知识,要求学生独立完成简单计算机的模型机设计,并用PROTEUS软件进行验证。在分析设计过程中,要求学生养成良好的习惯,学会分析实际问题,并利用所学的知识建立系统的逻辑结构,学会PROTEUS调试技巧和方法,通过逻辑设计和工程设计培养调试硬件电路的实际动手能力。要求学生掌握数字逻辑电路中故障的一般规律,以及排除故障的一般原则和方法;锻炼分析问题与解决问题的能力,在出现故障的情况下,独立分析故障现象,并排除故障。 三、课设设备: 计算机组成原理教学实验系统及电脑一台。 四、模型机组织结构: 组织结构分为运算器控制器存储器输入输出接口。 运算器是数据的加工部件,是CPU的重要组成部分。基本结构中必须有算数/逻辑运算单元、数据缓冲存储器、多路转换器和数据总线等逻辑构件。控制器是计算机的指挥中心,负责决定执行程序的顺序,给出执行指令时机器各部件需要的操作控制命令,由程序计数器、指令寄存器、指令译码器、时序产生器组成。存储器用来

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机组成原理 基本模型机实验

淮海工学院计算机工程学院实验报告书 课程名:《计算机组成原理》 题目:实验四基本模型机实验 班级: 学号: 姓名:

1、目的与要求 1)在“微程序控制器的组成与微程序设计实验”的基础上,将第一部分中的各单元组成系统,构造一台基本模型计算机。 2)本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。2、实验设备 1) ZYE1601B计算机组成原理教学实验箱一台,排线若干。 2) PC机一台。 3、实验步骤与源程序 l) 根据该模型机的指令系统,编写一段程序。这里给出两个参考程序。 参考程序一: 本程序从输入设备(数码开关)取入数据,保存在内存单元08,然后从08单元送到输出设备(LED数码管)进行显示。然后程序停止(请实验者考虑:如何修改程序,使程序不断从输入设备取出数据,送到输出设备显示。每次循环过程中,可以使输入设备数据改变,考察输出显示的结果。)。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0 01 20 STA [08H] ;R0→[08] 02 08 ;地址 03 30 OUT [08H] ;[08H]→BUS 04 08 ;地址 05 40 JMP [00H] ;00H→PC 06 00 ;跳转地址 参考程序二: 本程序从输入设备(数码开关)读入数据,与0A单元的数据相加,然后送到输出设备(LED 数码管)进行显示。本程序不断地循环运行,在运行中可改变输入开关(INPUT)的值,观察输出显示的变化。 设计机器指令程序如下(机器码为十六进制数据)。 地址内容助记符说明 00 00 IN ;输入开关数据→R0,采集数据 01 10 ADD [0AH] ;R0+[0AH]→R0,输入数据与指定数据相加 02 0A ;地址 03 20 STA [0BH] ;R0→[0B] 04 0B ;地址 05 30 OUT [0BH] ;[0BH]→BUS,输出显示 06 0B ;地址 07 40 JMP [00H] ;00H→PC 08 00 ;跳转地址 0A 01 ;加数,可自定 0B ;求和结果保存在0B单元 2) 按图1连接实验线路。 3) 写程序: 对于本实验箱可以用两种方法来写入程序。 方法一:手动写入 (1)先将机器指令对应的微代码正确地写入2816中,由于在实验1.6微程序控制器的组成与微程序设计实验中已将微代码写入E2PR0M芯片中,对照表2—2校验正确后就可使用。

模型机实验报告

哈尔滨工程大学 实验报告 实验名称:复杂模型机设计与实现 班级: 学号: 姓名: 实验时间: 成绩: 指导教师:程旭辉附小晶 实验室名称:计算机专业实验中心 一、实验名称:复杂模型机的设计与实现 二、实验目的:

1.综合运用所学计算机原理知识,设计并实现较为完整的计算机。 2.设计指令系统。 3.编写简单程序,在所设计的复杂模型计算机上调试运行。 三、实验设备: GW-48CPP系列计算机组成原理实验系统。 四、实验原理: 1.数据格式 8位,其格式如下: 其中第7位为符号位,数值表示范围是:-1≤1。 2.指令格式 所设计的指令分为四大类共十六条,其中包括算术逻辑指令、I/O指令、访问、转移指令和停机指令。 (1)算术逻辑指令 设计9条算术逻辑指令并用单字节表示,采用寄存器直接寻址方式,其格式如下: 其中, (2)访问指令及转移指令 访问指令有2条,即存数(STA)、取数(LDA);2条转移指令,即无条件转移(JMP)、结果为零或有进位转移指令(BZC) 其中,OP-CODE指令)。D为位移量(正负均可),M为寻址模式,其定义如下: 在本模型机中规定变址寄存器RI为寄存器R2。 (3)I/O指令 输入(IN)和输出( 其中,addr=01时,选中“OUTPUT DEVICE”中的LCD

点阵液晶屏作为输出设备。 (4)停机指令 指令格式如下: 3.指令系统 共有16条基本指令,其中算术逻辑指令7条,访问内存指令和程序控制指令4条,输入/输出指令2条,其

本模型机的数据通路框图如图7-1。根据机器指令系统要求,设计微程序流程图及确定微地址,如图7-2。 图7-2 微程序流程图 五、实验内容: 按照系统建议的微指令格式,参照微指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码表转换为联机操作时的十六进制格式文件。 微代码定义如表7-1所示。

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

基本模型机实验

基本模型机实验 实验目的: 理解微程序结构CU设计方法 理解机器指令与微程序之间的关系 掌握简单模型机CU的设计方法。 实验原理: 1.基本模型机使用的实验台模块 基本模型机应包括计算机系统的五大部件,相应地,在使用实验台构造模型机时,涉及到以下模块,必须完成其数据线(扁缆)和各种控制信号线的连接。 运算器模块– ALU; 指令部件模块、时序启停控制模块、微程序模块- 这些模块合在一起,相当于计算机系统中的CU; 存储器模块- 可存储机器指令,用于验证模型机的运行情况; 输入模块– 通过开关输入二进制数据; 输出模块– 通过LED显示从数据总线上输出的八位数据。 2.基本模型机制持的指令系统 实验台支持的基本模型机实验中,模型机支持的指令集包括五条指令,其机器指令形式如下: 助记符机器指令格式操作 IN R0,SW 0010 0000 将输入模块开关输入值送入寄存器R0 ADD R0,[addr] 0100 0000 xxxxxxxx 双字节指令,第二字节为访存操作数 的地址。 STA [addr],R0 0110 0000 xxxxxxxx 同上。将R0寄存器的值存入地址addr 对应的内存单元中。 OUT[addr],LED 1000 0000 xxxxxxxx 同上。将内存地址addr对应单元的内 容输出到输出模块,由LED显示。 JMP addr 1010 0000 xxxxxxxx 同上。给PC赋值addr,控制程序跳 转到addr处执行。 3.微程序设计 基本模型机中实现CU控制的微程序共有6段,分别是取指周期微程序,和五条机器指令各自的执行周期微程序。其结构如下图所示: 其中,需要说明的是条件P(1),这个测试出现在取指周期结束时,系统需要根据机器指令的操作码字段(机器指令的前三位)进行散转,分别转入相应的执行周期微程序中执行。

相关主题
文本预览
相关文档 最新文档