当前位置:文档之家› 《交换与路由技术》实验报告

《交换与路由技术》实验报告

《交换与路由技术》实验报告
《交换与路由技术》实验报告

《交换与路由技术》实验报告

《交换与路由技术》实验报告书

一、实验目的

掌握网络安全策略布置原则,掌握IP标准及扩展访问控制列表配置技能,能够根据实际需求准确配置IP访问控制列表,具体包括以下几个方面。

(1)了解IP标准及扩展访问控制列表的功能及用途。

(2)掌握IP标准访问控制列表配置技能。

(3)掌握IP扩展访问控制列表配置技能。

二、实验设备及环境

首先对两路由器进行基本配置,实现三个网段可以相互访问;然后对距离控制目的地址较近的路由器RouterB配置IP标准访问控制列表,允许192.168.1.0网段(校办企业财务科)主机发出的数据包通过,不允许192.168.2.0网段(教师办公室)主机发出的数据包通过,最后将这一策略加到路由器RouterB的Fa 0端口,如图12.1所示。

三、实验步骤

模块12.1 IP标准访问控制列表的建立及应用

第1步:基本配置。

路由器RouterA。

路由器RouterB。

查验路由表,此时可以看到路由器的路由表中既包含直连路由,也包含静态路由。

第2步:在路由器RouterB上配置Ilp标准访问控制列表。拒绝来自192.168.2.0网段的流量通过。

允许来自192.168.1.0网段的流量通过。

第3步:把访问控制列表应用在路由器RouterB的Fa 0接口输出方向上。

第4步:验证测试。

在PC1主机的命令提示符下Ping 192.168.3.10,能Ping通。

在PC2主机的命令提示符下Ping 192.168.3.10,不能Ping通。

对于任务2,首先对交换机进行基本配置,实现三个网段可以相互访问;然后对交换机配置IP标准访问控制列表,允许192.168.1.0网段(校企财务科)主机发出的数据包通过,不允许192.168.2.0网段(教师办公室)主机发出的数据包通过,最后将这一策略加到交换机VLAN30的SVI端口输出方向上,如图12.2所示。

第1步:交换机的基本配置。

图12.2交换机IP标准访问控制列表

查看三层交换机路由表。

第2步:配置命名IP标准访问控制列表。验证测试。

第3步:验证测试。

在PC1主机的命令提示符下Ping 192.168.3.10,能Ping通。在PC2主机的命令提示符下Ping 192.168.3.10,不能Ping通。

模块12.2 IP扩展访问控制列表的建立及应用

首先对两路由器进行基本配置,实现三个网段相互访问;然后对离控制源地址较近的路由器RouterA配置IP扩展访问控制列表,不允许192.168.1.0网段(学生宿舍)主机发出的去192.168.3.0网段的FTP 数据包通过,允许192.168.1.0网段主机发出的其他服务数据包通过,最后将这一策略加到路由器RouterA的Fa 0端口,如图12.4所示。

第一步:基本配置。

路由器RouteA。

路由器RouterB。

第二步:在路由器RouterA上配置ip扩展访问控制列表。

对于任务2,首先对三层交换机进行基本配置,实现三个网段可以相互访问;然后在三层交换机上配置IP扩展访问控制列表,不允许192.168.1.0网段(学生宿舍)主机发出的去192.168.3.0网段的FrP数据包通过,允许192.168.1.0网段主机发出的其他服务数据包通过,最后将这一策略加到三层交换机的VLAN10的SVI端口输入方向上,如图12.5所示。

图12.5交换机IP扩展访问控制列表配置过程如下所述。

第1步:交换机的基木配置。

查看三层交换机路由表。

第2步:配置命名iP扩展访问控制列表。

第3步:把访问控制列表应用在交换机SwitchA的VLAN10的SVI接口输入方向上。验证测试。

第4步:分别配置FTP及Web服务器(在做实训时也可将FIT及Web服务器放置在一台机器上)。

第5步:验证测试。

分别在学生网段和教师网段上使用1台主机访问FI'P及Web服务器,则学生主机只能访问Web服务器,教师主机都可以访问。

网络通信实验报告

网络通信程序设计 实验报告 姓名: 学号: 专业:计算机科学与技术 授课教师:贺刚 完成日期: 2020.5.27

实验一:TCP套接字编程 内容: 1、利用阻塞模型的开发TCP通信客户端程序。 2、在程序中必须处理粘连包和残缺包问题。 3、自定义应用层协议。 4、采用多线程开发技术。 实验代码: 服务器端: #include "iostream.h" #include "initsock.h" #include "vector" using namespace std; CInitSock initSock; // 初始化Winsock库 DWORD WINAPI ThreadProc(LPVOID lpParam); vector sClientVector; int main() { //1 创建套节字 SOCKET sListen = ::socket(AF_INET, SOCK_STREAM, 0); if(sListen == INVALID_SOCKET) { cout<<"Failed socket() "<

机械设计上机设计实验报告

机械设计上机设计 班级: 姓名: 学号:

目录 1.数表和线图的程序化处理 (1) 1.1数表的程序化 (1) 1.1.1查表检索法 (1) 1.1.2数表解析法 (12) 1.2线图的程序化 (15) 1.3有关数据处理 (16) 2.典型零部件的程序设计 (18) 2.1 V带传动的程序设计 (18) 2.2 齿轮传动的程序设计 (19) 2.3 滚动轴承的程序设计 (21) 3.课后习题计算 (22)

一、表和线图的程序化处理 1.1数表程序化 数表程序化有两种方法:一是查表检索法;二是数表解析法1.1.1 查表检索法 1)一元数表的存取 表1-1 普通V带型号及有关参数 运行界面:

程序代码: Private Sub Command1_Click() Dim s As Integer Dim q1 As Single, dm As Single, kb As Single s = Val(Txt_s.Text) Select Case s Case 0 q1 = 0.02: dm = 20: kb = 0.00006 Case 1 q1 = 0.06: dm = 50: kb = 0.00039 Case 2 q1 = 0.1: dm = 75: kb = 0.00103 Case 4 q1 = 0.17: dm = 125: kb = 0.00265 Case 5 q1 = 0.3: dm = 200: kb = 0.0075 Case 6 q1 = 0.62: dm = 355: kb = 0.0266 Case 7 q1 = 0.9: dm = 500: kb = 0.0498 End Select Txt_q1.Text = Str(q1) Txt_dmin.Text = Str(dm) Txt_kb.Text = Str(kb) End Sub Private Sub Command2_Click() End End Sub 2)二元数表的存取 表1-2齿轮传动工作状况系数K

常用网络测试命令实验报告

西安郵電學院 计算机网络技术及应用实验 报告书 院部名称:管理工程学院 学生姓名:XXX 专业名称:信息管理与信息系统班级:10XX 学号:0210XXXX 时间:2012年 5 月 4 日

一、实验目的 1.掌握基本的网络知识。 2.掌握CMD一些基本命令,并学会运用这些命令排除一些基本问题。 二、具体实验内容及步骤 1.Ping命令的使用 点击―开始‖—〉―运行‖,在―运行‖对话框―打开‖后键入cmd,按―确定‖,到命令行方式下。 实验步骤: 1)回环测试。这个ping命令被送到本地计算机IP软件。这一命令可以用来检测TCP/IP的安装或运行存在的某些最基本的问题。 C:\>ping 127.0.0.1 2)Localhost是127.0.0.1的别名,我们也可以利用localhost来进行回环测试,每台计算机都能够将名称localhost转换成地址127.0.0.1。如果做不到这一点,则表示主机文件(host)中存在问题。 C:\>ping localhost

3)Ping本机IP。若无回复,说明本地计算机的TCP/IP安装或配置存在问题。 C:\>ping –t 192.168.2.37 在命令中加入参数-t,本地计算机应该始终对该ping命令做出应答,使用ctrl+C终止操作。 4)Ping局域网内其它主机IP。该命令对局域网内的其它主机发送回送请求信息。

如果能够收到对方主机的回送应答信息,表明本地网络中的网卡和传输媒体运行正常。 C:\>ping 192.168.2.55 5)Ping网关:如果能够收到应答信息,则表明网络中的网关路由器运行正常。 C:\>ping 192.168.2.1 6)Ping域名服务器:如果能够收到应答信息,则表明网络中的域名服务器运行正常。 C:\>ping 202.117.128.2

计算机网络与通讯实验报告记录

计算机网络与通讯实验报告记录

————————————————————————————————作者:————————————————————————————————日期:

实验名称:RJ-45接口与网卡设置 一.题目 二.实验设备仪器(软件环境) ⒈RJ-45压线钳 ⒉双绞线剥线器 ⒊ RJ-45接头 ⒋双绞线 ⒌网线测试仪 ⒍网卡 三.试验目的 1.掌握使用双绞线作为传输介质的网络连接方法,学会制作RJ45接头。 2.学会测线器的使用方法。 3.学会网卡的安装与设置。 四.试验内容及步骤 1.网线制作 (1)按以下步骤制作网线(直通线): ●抽出一小段线,然后先把外皮剥除一段; ●将双绞线反向缠绕开; ●根据标准排线(注意这里非常重要); ●铰齐线头(注意线头长度); ●插入插头; ●用打线钳夹紧; ●用同样方法制作另一端。 (2)网线的检查、测试 可以使用网线测试仪或万用表测试网线连接逻辑是否正确。网线制作好后,将其两端分别插入网卡和交换机的插口内,开机后对应的指示灯应闪亮。 2.网卡的安装与设置 (1)安装网卡驱动程序 一.将网卡插入计算机主板的插槽内,启动计算机; 二.单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【添加硬件】 图标; 三.弹出【添加硬件向导】,在设备列表中选择所用的网卡设备,插入带有网卡驱动程序的 光盘(或磁盘),按向导提示逐步安装驱动程序; 四.若安装成功,向导会给出正确的提示。

(2)网络协议的添加(此步可略) 一般情况下,安装好网卡的驱动程序以后,最基本的TCP/IP网络协议会自动被添加到系统中。但在某些特殊情况下,需要我们手动添加/删除网络协议: ●单击【开始】|【设置】|【控制面板】命令,打开【控制面板】窗口,双击【网 络连接】图标; ●打开【网络连接】窗口,选中【本地连接】图标,点击右键,在弹出菜单中选 【属性】; ●进入【属性】对话框,选【常规】项,单击【安装】按钮; ●弹出【选择网络组件类型】对话框,在【单击要安装的网络组件类型】列表中 选【协议】,单击【安装】; ●弹出【选择网络协议】对话框,在【网络协议】列表中选择所要的协议,单击 【确定】按钮。 (3)网卡的设置 网卡安装成功后,必须对其进行配置,配置前,必须到网络中心申请到合法的IP地址,并得到网络中心提供的域名及其IP地址、网关的IP地址。 (1)打开【网络连接】中“本地连接”的【属性】窗口; (2)选中【Internet协议(TCP/IP)】,单击【属性】按钮; (3)打开【Internet协议(TCP/IP)属性】窗口,分别设置“IP地址”、“子网掩码”、“默认 网关”、“DNS服务器”等项。 3.网络连通的测试 常用ping命令来测试网络连接,格式: ping [-t] [-a] [-n count] [-l length] [-f] [-i ttl] [-v tos] [-r count] [-s count] [[-j computer-list] | [-k computer-list]] [-w timeout] destination-list 参数含义 -t 校验与指定计算机的连接,直到用户中断。 -a 将地址解析为计算机名。 -n count 发送由count指定数量的ECHO 报文,默认值为 4。 -l length 发送包含由length 指定数据长度的ECHO报文。 默认值为64字节,最大值为8192 字节。 -f 在包中发送“不分段”标志,该包将不被路由上的 网关分段。 -I ttl 将“生存时间”字段设置为ttl指定的数值。 -v tos 将“服务类型”字段设置为tos指定的数值。 -r count 在“记录路由”字段中记录发出报文和返回报文的 路由。指定的Count值最小可以是1,最大可以是 9 。 -s count 指定由count指定的转发次数的时间邮票。 -j computer-list 经过由computer-list指定的计算机列表的路由报 文。中间网关可能分隔连续的计算机(松散的源路 由)。允许的最大IP地址数目是9。 -k computer-list 经过由computer-list指定的计算机列表的路由报

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

机械设计实验报告带传动

实验一 带传动性能分析实验 一、实验目的 1、了解带传动试验台的结构和工作原理。 2、掌握转矩、转速、转速差的测量方法,熟悉其操作步骤。 3、观察带传动的弹性滑动及打滑现象。 4、了解改变预紧力对带传动能力的影响。 二、实验内容与要求 1、测试带传动转速n 1、n 2和扭矩T 1、T 2。 2、计算输入功率P 1、输出功率P 2、滑动率ε、效率η。 3、绘制滑动率曲线ε—P 2和效率曲线η—P 2。 三、带传动实验台的结构及工作原理 传动实验台是由机械部分、负载和测量系统三部分组成。如图1-1所示。 1直流电机 2主动带轮 3、7力传感器 4轨道 5砝码 6灯泡 8从动轮 9 直流发电机 10皮带 图1-1 带传动实验台结构图 1、机械部分 带传动实验台是一个装有平带的传动装置。主电机1是直流电动机,装在滑座上,可沿滑座滑动,电机轴上装有主动轮2,通过平带10带动从动轮8,从动轮装在直流发电机9的轴上,在直流发电机的输出电路上,并接了八个灯泡,每个40瓦,作为发电机的负载。砝码通过尼龙绳、定滑轮拉紧滑座,从而使带张紧,并保证一定的预拉力。随着负载增大,带的受力增大,两边拉力差也增大,带的弹性滑动逐步增加。当带的有效拉力达到最大有效圆周力时,带开始打滑,当负载继续增加时则完全打滑。 2、测量系统 测量系统由转速测定装置和扭矩测量装置两部分组成。 (1)转速测定装置 用硅整流装置供给电动机电枢以不同的端电压实现无级调速,转动操纵面板上“调速”旋钮,即可实现无级调速,电动机无级调速范围为0~1500r/min ;两电机转速由光电测速装置测出,将转速传感器(红外光电传感器)分别安装在带轮背后的“U ”形糟中,由此可获得转速信号,经电路处理即可得到主、从动轮上的转速n 1、n 2。 (2)扭矩测量装置 电动机输出转矩1T (主动轮转矩)、和发电机输入转矩2T (从动轮转矩)采用平衡电机外壳(定子)的方法来测定。电动机和发电机的外壳支承在支座的滚动轴承中,并可绕转子的轴线摆动。当电动机通过带传动带动发电机转动后,由于受转子转矩的反作用,电动机定子将向转子旋转的相反方向倾倒,发电机的定子将向转子旋转的相同方向倾倒,翻转力的大小可通过力传感器测得,经过计算电路计算可得到作用于电机和发电机定子的转矩,其大小与主、从动轮上的转矩1T 、2T 相等。

计算机网络技术实验报告

重庆交通大学 学生实验报告 实验课程名称《计算机网络技术》课程实验 开课实验室软件与通信实验中心 学院国际学院年级2012 专业班(1)班 学生姓名吴双彪学号6312260030115 开课时间2014 至2015 学年第二学期 实验2简单的局域网配置与资源共享 实验目的: 1、掌握将两台PC联网的技能与方法 2、掌握将几台PC连接成LAN的技能与方法 3、掌握局域网内资源共享的技能与方法 实验内容和要求: 1、选用百兆交换机连接PC若干台; 2、在上述两种情况下分别为PC配置TCP/IP协议,使他们实现互联和资源共享实验环境:(画出实验网络拓图) 实验步骤: 1、选择两台计算机; 选PC0与PC1. 2、设置两台计算机IP地址为C类内部地址; 两台PC机的IP分别设置为:、202.202.242.47、202.202.243.48; 两台PC机的掩码分别设置为:、255.255.255.0、255.255.255.0; 3、用一台计算机Ping另一台计算机,是否能Ping通?

4、我的电脑→工具→文件夹选项→查看→去掉“使用简单文件共享(推荐)”前 的勾;设置共享文件夹。 5、控制面板→管理工具→本地安全策略→本地策略→安全选项里,把“网络访 问:本地帐户的共享和安全模式”设为“仅来宾-本地用户以来宾的身份验证” (可选,此项设置可去除访问时要求输入密码的对话框,也可视情况设为“经典-本地用户以自己的身份验证”); 6、通过网络邻居或在运行窗口输入“\\对方IP地址”实现资源共享。 1)指定IP地址,连通网络 A.设置IP地址 在保留专用IP地址范围中(192.168.X.X),任选IP地址指定给主机。 注意:同一实验分组的主机IP地址的网络ID应相同 ..。 ..,主机ID应不同 ..,子网掩码需相同B.测试网络连通性 (1)用PING 命令PING 127.0.0.0 –t,检测本机网卡连通性。 解决方法:检查网线是否连接好,或者网卡是否完好 (2)分别“ping”同一实验组的计算机名;“ping”同一实验组的计算机IP地址,并记录结 果。答:能。结果同步骤3 (3)接在同一交换机上的不同实验分组的计算机,从“网上邻居”中能看到吗?能ping通 吗?记录结果。 2) 自动获取IP地址,连通网络 Windows主机能从微软专用B类保留地址(网络ID为169.254)中自动获取IP地址。 A.设置IP地址 把指定IP地址改为“自动获取IP地址”。 B.在DOS命令提示符下键入“ipconfig”,查看本机自动获取的IP地址,并记录结果。 C.测试网络的连通性 1.在“网上邻居”中察看能找到哪些主机,并记录结果。 2.在命令提示符下试试能“ping”通哪些主机,并记录结果。 答:能ping通的主机有KOREYOSHI ,WSB ,ST ,LBO ,CL 。思考并回答 测试两台PC机连通性时有哪些方法? 实验小结:(要求写出实验中的体会)

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

机械设计实验报告

前言 一、实验课目的 本课程实验课目的在于:验证、巩固和加深课堂讲授的基本理论,加强理论联系实际及独立工作能力的培养;掌握一些最基本的机械实验方法、测量技能及用实验法来测定一些机械参数的能力;以及培养学生踏实细致、严肃认真的科学作风。因此,实验课是一个不可缺少的重要环节,每个学生必须认真对待,在课前进行预习,在课后分析试验结果,写成正规的实验报告。实验课为评定学生成绩的一部分。 二、实验前的准备工作 为了保证实验顺利进行,要求在实验前做好准备工作,教师在实验前要进行检查和提问,如发现有不合格者,提出批评,甚至停止实验的进行,实验准备工作包括下列几方面内容: 1.预习好实验指导书:明确实验的目的及要求;搞懂实验的原理;了解实验进行的步骤及主要事项,做到心中有底。 2.准备好实验指导书中规定自带的工具、纸张。 3.准备好实验数据记录表格。表格应记录些什么数据自拟。 三、遵守实验室的规章制度 1.验前必须了解实验设备、仪器的使用性能、操作规程及使用须知,否则不得操作。 2.严格按照规定,精心操作设备、仪器。 3.实验室内与本实验无关的设备与仪器,一律不得乱动。 4.在实验室严守纪律,不得高声谈笑,保持室内整洁。 5.实验完毕后,用过设备、仪器放回原处,并整理清洁、经教师同意后才得离开。 四、实验报告 实验报告是对实验所有数据、现象进行整理,分析得出一定结论与看法的书面文件。学生在实验后必须按照要求,整理并分析处理所的结果,写成正规的实验报告。 为了写好实验报告,提出以下几点: 1.实验结果记录应经实验指导教师过目签字,并随实验报告一起交上。 2.报告中的结果分析及讨论应力求具体,应针对试验具体情况,防止不切实际的空谈。 3.实验报告要求每人一份。 4.实验报告应在实验完毕后一星期内,由班委汇集交老师。 吉林大学珠海学院 机械工程学院 2018年9月10日

实验报告2 常用网络命令的使用

计算机网络实验报告 班级信工(2)班日期 2016-5-12 学号 20130702047 姓名李格 实验名称常用网络命令的使用 一、实验目的 1. 掌握几种常用的网络命令,通过使用这些命令能检测常见网络故障。 2. 理解各命令的含义,并能解释其显示内容的意义。 二、实验步骤 (一)ping 命令的使用 1、单击开始按钮,输入cmd 并按回车键,进入windows DOS环境。 2、输入ping/? 回车,了解ping命令的基本用法。结果如下: 最常用的ping命令是在ping后面直接跟域名或IP地址。测试内网或外网的联通情况。 3、依次输入以下命令并查看分析结果。 (1)输入ping https://www.doczj.com/doc/b92986040.html,并回车查看分析结果。 结果如下:

分析: (2)输入ping 218.197.176.10并回车查看分析结果。结果如下: 分析: (3)输入ping https://www.doczj.com/doc/b92986040.html, 并回车查看分析结果。结果如下: 分析: (3)输入pi ng 121.14.1.189 并回车查看分析结果。

结果如下: 分析: 4、使用不同的参数测试ping命令。 结果如下: 分析: (二)ipconfig 命令的使用 1、单击开始按钮,输入cmd 并按回车键,进入windows DOS环境。 2、输入ipconfig/? 回车,了解ipconfig 命令的基本用法。结果如下:

3、依次输入以下命令并查看分析结果。 (1)输入ipconfig 并回车查看并分析结果。结果如下:

分析: (2)输入ipconfig/all 并回车查看分析结果。结果:

计算机与通信网络实验报告

计算机与通信网络实验报告 041220111 戴妍 实验一隐终端与暴露终端问题分析 一、实验设定: 基本参数配置:仿真时长100s;随机数种子1;仿真区域2000x2000;节点数4。 节点位置配置:本实验用[1]、[2]、[3] 、[4]共两对节点验证隐终端问题。节点[1]、[2]距离为200m,节点[3]、[4]距离为200m,节点[2]、[3]距离为370m。 业务流配置:业务类型为恒定比特流CBR。[1]给[2]发,发包间隔为0、01s,发包大小为512bytes;[3]给[4]发,发包间隔为0、01s,发包大小为512bytes。 二、实验结果: Node: 1, Layer:AppCbrClient,(0)Server address:2 Node:1,Layer: AppCbrClient,(0)Firstpacket sent a t[s]:0、000000000 Node: 1,Layer:AppCbrClient,(0)Lastpacket sent at [s]:99、990000000 Node:1,Layer:AppCbrClient,(0) Session status:Not closed Node:1, Layer: AppCbrClient,(0)Totalnumber of bytess ent: 5120000 Node: 1,Layer:AppCbrClient,(0) Total number of packets se nt: 10000 Node:1, Layer: AppCbrClient,(0) Throughput (bits per second):409600 Node:2, Layer:AppCbrServer, (0)Clientaddress: 1 Node: 2, Layer:AppCbrServer,(0) Firstpacket received at [s]:0、007438001 Node:2, Layer:AppCbrServer,(0)Last packetreceiveda t[s]:99、999922073

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

实验一 熟悉常用的网络命令实验报告

实验一熟悉常用的网络命令 序号:姓名:李哲旭学号:20141120117成绩指导教师: 1.实验目的: 学会使用常用ping ,ipconfig, nslookup, arp ,tracert等常用网络测试命令检测网络连通、了解网络的配置状态,跟踪路由诊断域名系统等相关网络问题。 2实验环境: (1)运行windows 8.1操作系统的PC一台 (2)每台PC机具有一块网卡,通过双绞线与局域网网相连。 (3)局域网能连接Internet 3.实验步骤: 参见实验指导手册内容。 4.实验分析,回答下列问题 (1)查看本机TCP/IP协议配置,看你的计算机是通过自动获取IP还是通过手动方式设置IP地址的?写出你判断的理由。 自动获取IP地址 (2)如果是通过手动方式获取IP地址,可以直接读出IP地址,子网掩码,默认网关,首选DNS服务器地址,备用DNS服务器地址。填写下表。 如果是采用动态获取IP地址,如何获取完整的TCP/IP配置信息,请写出解决步骤。并填写下表。 点击运行,输入cmd,使用ipconfig/all命令 IP地址113.55.91.78

子网络掩码255.255.255.255 默认网关fe80::21e:73ff:fe9a:c820%1450. 0.0.0 首选DNS服务器地址202.203.208.33 备用DNS服务器地址222.203.208.33 (3)显示完整的TCP/IP的配置信息。 (4)在获取本机IP地址之后,在MS-DOS方式下运行下列Ping命令,填写实验运行结果(可附截图)。 (a)ping本机IP地址 (b)ping 本机IP地址–t

通信网络基础实验报告

通信网络基础实验 报告 学号:。。。 姓名:。。。 专业:通信工程 指导老师:孙恩昌 完成时间:2015-12-27

目录 一.实验目的 (3) 二.实验内容 (3) 三.实验原理 (3) 四.实现停等式ARQ实验过程及结果: (5) 五.实现返回n-ARQ实验过程及结果: (7) 六.实现选择重发式ARQ过程及结果: (8) 七.心得体会 (10)

一.实验目的 1.理解数据链路层ARQ协议的基本原理 2.用算法实现四种不同形式的ARQ重传协议:停等式ARQ、返回n-ARQ、选择重发式ARQ和ARPANET ARQ。 3.提高分析和解决问题的能力和提高程序语言的实现能力 二.实验内容: 1.根据停等式ARQ协议基本理论,编写协议算法,进行仿真; 2.根据返回N-ARQ协议基本理论,编写协议算法,进行仿真; 3.根据选择重传ARQ协议基本理论,编写协议算法,进行仿真; 4.根据并行等待ARQ协议基本理论,编写协议算法,进行仿真 三.实验原理 1.停等式ARQ:在开始下一帧传送出去之前,必须确保当前帧已被正确接受。假定A到B的传输链路是正向链路,则B到A的链路称为反向链路。在该链路上A要发送数据帧给B,具体的传送过程如下: 发送端发出一个包后,等待ACK,收到ACK,再发下一个包,没有收

到ACK、超时,重发 重发时,如果ACK 不编号,因重复帧而回复的ACK,可能被错认为对其它帧的确认。 2. 返回n-ARQ:发送方和接收方状态示意图 返回n-ARQ方案的特点如下: (1)发送方连续发送信息帧,而不必等待确认帧的返回; (2)在重发表中保存所发送的每个帧的备份; (3)重发表按先进先出(FIFO)队列规则操作; (4)接收方对每一个正确收到的信息帧返回一个确认帧,每一个确认帧包含一个惟一的序号,随相应的确认帧返回; (5)接收方保存一个接收次序表,包含最后正确收到的信息帧的序号。当发送方收到相应信息帧的确认后,从重发表中删除该信息帧的备份;

《机械设计》实验报告

一、目的与要求 1、根据给定的实验内容、设备及条件,通过实验,达到开发、培养、提高学生的动手能力,了解、掌握机械运动的一般规律以及现代测试原理和方法,增强创新意识与工程实践能力。实现预期实验目的。 2、根据实验项目要求,进行有关“带传动”、“链传动”、“齿轮传动”、“蜗杆传动”及“综合机械传动”等实验方案的创意设计、实验装置的设计、搭接、组装及调试、实验测试方法的选择、实际操作规程的制订、实验数据测试、实验结果分析及绘制实验装置的结构简图。 二、提供设备如下 1、动力装置 ⑴普通电机Y90L—4—1.5 额定功率 1.5Kw 满载转速1420r/min ⑵变频交流电机 额定功率 1.5kW 转速1~6000r/min 2、测试装置 ⑴转速转矩测量仪额定转矩50N·m 转速范围0~6000r/min ⑵机械效率仪 3、传动装置 ⑴圆柱齿轮减速噐 减速比1:1.5,齿数Z1 =32,Z2 = 48 , 螺旋角β=8。4‘9‘‘,中心距a=100mm 法面模数m n =2.5; ⑵蜗杆减速器 蜗杆类型ZA,轴向模数m=3.250,,蜗杆头数Z1 =4, 蜗杆齿数Z2 =30,减速比1:1.7,中心距a=63mm; ⑶V型带传动件 型号Z-1041,带轮基准直径d=106mm; ⑷链传动件

链号:08A,链节距p=12.70mm,链轮齿数Z=21 。 4、加载装置 C Z5磁粉制动器额定转矩50N·m 滑差功率4kw 三、实验内容 1、实验方案设计; 2、传动方案选择设计; 3、实验装置搭建及调试; 4、实验结果测试; 5、绘制实验装置的结构简图; 6、实验结果分析报告。 四、注意事项 1、增强创新意识与工程实践能力,树立严肃认真、一丝不苟的工作精神,养成实验时的正确方法和良好习惯,维护国家财产不受损失; 2、注意保持实验室内整洁,严格遵守实验室的规章制度; 3、实验装置搭建完成后,须经指导老师检查、审定后方可开机操作; 4、实验室严格遵守设备及仪器操作规程,注意人生安全; 5、实验结束后应整理全部仪器、装置及附件,并恢复原位; 6、认真完成实验报告 五、操作步骤 1、接通电源,打开水源、效率仪、稳流电源,启动电机,打开计算机,点击“机械设计多功能实验台”,进入主界面。 2、关闭电机,断开动力装置与被测装置之间的联轴器,将效率仪输入调零。 3、还原动力装置与被测装置之间的联轴器,启动电机,将效率仪的输出调零。 4、点击“新建”进入测试菜单,点击“连续采集”正式进入实验。 5、顺时针转动稳流电源激磁电钮,缓慢均匀加载,加载完毕,点击“结束采集”,同时将激磁电钮回零。 6、储存数据、数据处理、打印结果 注意:输入功率<2kw、激磁电流<0.3A

实验一 常用网络命令的使用 实验报告

实验一、常用网络命令的使用 课程计算机网络班级2013167 姓名郑棋元 完成日期15年4月2 日课(内、外)总计本实验用时间四个小时【实验目的】 1.掌握常用网络命令的使用方法; 2.熟悉和掌握网络管理、网络维护的基本内容和方法 【实验内容】 1.阅读实验指导书提供的资料,结合本地环境对WINDOWS 常用网络命 令进行测试和练习。 2.分析总结实验场地的网络环境、拓扑结构、上网方式等。 【实验步骤和结果】 ⑴ARP:

⑵ftp

⑶Ipconfig ⑷Nbtstat

⑸net: ⑹Netstat ⑺Ping

⑻Route ⑼Telnet 没能调试出来⑽Tracert

【实验思考题】 1.说明如何了解本机及其所处网络的网络配置信息? 输入Ipconfig/all(该诊断命令显示所有当前的 TCP/IP 网络配置值) 2.若网络出现故障,说明使用网络命令进行故障检测的常用步骤? 运用Ping(验证与远程计算机的连接) ping 任一IP地址,如果能ping通,说明你的电脑的TCP/IP没有错误。 ping 自己的IP地址,如果能ping通,说明你的网卡都正常。 ping 路由。如果能通,说明你的主机到路由的物理连接还都正常。 ping 网址。如果能通却还是打不开网页,说明dns有错误。 【实验总结】 常用的网络命令虽然看起来简单,可能觉得没什么用处,但是对于网络问题的诊断却非常有用。用windows系统自带的命令行中的常用网络命令来诊断网络故障,不仅快捷,而且信息反映直观。 【实验心得与体会】 掌握了很多常用却不知道或知道却不熟悉的网络命令的使用方法,知道了两台PC机之间传输文件的多种方式。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

机械振动实验报告

《机械振动基础》实验报告 (2015年春季学期) 姓名 学号 班级 专业机械设计制造及其自动化报告提交日期2015.05.07 哈尔滨工业大学

报告要求 1.实验报告统一用该模板撰写,必须包含以下内容: (1)实验名称 (2)实验器材 (3)实验原理 (4)实验过程 (5)实验结果及分析 (6)认识体会、意见与建议等 2.正文格式:四号字体,行距为1.25倍行距; 3.用A4纸单面打印;左侧装订; 4.报告需同时提交打印稿和电子文档进行存档,电子文档由班长收 齐,统一发送至:liuyingxiang868@https://www.doczj.com/doc/b92986040.html,。 5.此页不得删除。 评语: 教师签名: 年月日

实验一报告正文 一、实验名称:机械振动的压电传感器测量及分析 二、实验器材 1、机械振动综台实验装置(压电悬臂梁) 一套 2、激振器一套 3、加速度传感器一只 4、电荷放大器一台 5、信号发生器一台 6、示波器一台 7、电脑一台 8、NI9215数据采集测试软件一套 9、NI9215数据采集卡一套 三、实验原理 信号发生器发出简谐振动信号,经过功率放大器放大,将简谐激励信号施加到电磁激振器上,电磁激振器振动杆以简谐振动激励安装在激振器上的压电悬臂梁。压电悬臂梁弯曲产生电流显示在示波器上,可以观测悬臂梁的振动情况;另一方面,加速度传感器安装在电磁激振器振动杆上,将加速度传感器与电荷放大器连接,将电荷放大器与数据采集系统连接,并将数据采集系统连接到计算机(PC机)上,操作NI9215数据采集测试软件,得到机械系统的振动响应变化曲线,可以观测电磁激振器的振动信号,并与信号发生器的激励信号作对比。实验中的YD64-310型压电式加速度计测得的加速度信号由DHF-2型电荷放大器后转变为一个电压信号。电荷放大器的内部等效电路如图1所示。 q

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

相关主题
文本预览
相关文档 最新文档