当前位置:文档之家› 基于MSP430G2553的简易信号发生器(DOC)

基于MSP430G2553的简易信号发生器(DOC)

基于MSP430G2553的简易信号发生器(DOC)
基于MSP430G2553的简易信号发生器(DOC)

基于MSP430G2553的简易信号发生器

浙江工业大学

摘要:本作品基于TI的LaunchPad设计了一款简易信号发生器,选用TI的MSP430G2553单片机。通过单片机加外围LCD12864、DAC0832及TL082放大电路,实现了可产生正弦波、锯齿波、三角波、方波的简易信号发生器,且频率可调。

关键词:MSP430G2553 DAC0832 正弦波锯齿波三角波

一、作品基本功能介绍

2 在信号产生和处理方面。通过MSP430G2553内部的TA 定时器,外加DAC0832产生四种波形,在DA 输出后,通过一个由运算放大器TL082和精密可调电位器组成的运算放大电路,以实现信号的增益控制。最后在 50负载电阻上输出电压。系统总体框图如图1.1所示。

图1.1 系统总体框图

3 人机交互方面。本作品使用三个独立按键来控制菜单选项,其功能如表1.2所表1.2 按键功能说明

二、系统硬件和软件说明

1 硬件构成

本作品使用LCD12864作为人机交互模块,由于MSP430G2553的I/O 口很少,所以通过对LCD 的进行串行数据输入,以节约I/O 口。其连接如图1.2所示。

+5V

图1.2 LCD12864硬件连接

由于是通过MSP430G2553输出数字量的信号来产生波形,因此需要用到

DA将数字量转换为模拟量。考虑到单片机的I/O口数量,选用8位的DA来进行数模转换。硬件如图1.3所示,DAC0832采用直通工作方式,节省I/O口控制引脚。

+5V

P1.0-P1.3

P1.4-P1.7

+5V

I OUT

图1.3 DAC0832 直通方式硬件连接

由DAC输出模拟量后,由于波形的幅值太小,因此还需要进行幅值的放大。其中R3是精密可调电位器,方便用户对信号的幅度进行调节。50Ω的电阻可以保证整个信号发生器的输出阻抗为50Ω。信号幅度调节和输出部分电路如图1.4所示。

图1.4 幅值放大的硬件电路

2 软件系统

整个系统的软件主要有主函数、定时器TA中断函数、按键中断函数三个大

的模块组成。系统软件框图如图1.5所示。

图1.5 系统软件框图

各函数的作用和相互关系:

在主函数中首先对系统的时钟、I/O 口、定时器TA 进行了初始化。初始化完毕,开启总中断。接着进入while (1)循环等待定时器中断子程序的执行。按键中断函数主要对三个菜单按键(P2.2、P2.1、P2.0)的动作进行处理。这三个菜单按键可以实现波形的切换,频率的加减,信号的使能输出。在按键中断函数中修改的信号频率大小和波形的种类这两个参数会被主函数和定时器TA 中断函数调用。

定时器频率计算原理。

TA 定时器设置为连续增计数模式,时钟频率f s 采用SMCLK 同步。若要产生X Hz 的正弦波、锯齿波、三角波和方波则有:

TA0CCR0=

X

s

200f

在上式中,寄存器TA0CCR0是用以定时器TA 的初始值。本作品采用的是200个采样点。

三、方案论证

方案一:

由MSP430G2553结合低通滤波器电路来模拟实现DDS 芯片的功能,进而产生各种波形。

优缺点:利用此方案可以充分利用MSP430G2553上资源,使系统成本大大降低。但是此方案只能产生低频率的波形,不适合此次参赛题目要求。

方案二:

控制部分由MSP430G2553实现,波形产生部分使用FPGA 或CPLD 芯片采用波形查找表结合高速的DAC 产生。

优缺点:此方案自由度大,符合现在的发展趋势。但是,FPGA 或CPLD 芯片价格相对比较高,加上高速的DAC 芯片,使设计成本大大提高。而且整个设计中MSP430G2553仅仅完成简单的控制功能,资源得不到充分的利用。

方案三:

由MSP430G2553实现对专门的DDS 芯片(如AD9850、AD9833)的控制,

来产生各种波形。

优缺点:利用此方案产生波形的频率稳定度高,易于程控。但是,专门的DDS芯片价格高,系统成本高。

方案四:

控制部分由MSP430G2553实现,波形通过代码控制生成数字量信号,再用D/A转换器输出正弦波、锯齿波、三角波及方波,也可以实现频率的数控调整。

优缺点:方法简单易行,采用单片专用芯片,系统体积大大减小。可产生较高的频率,容易调节频率。但是,未能很好的利用MSP430G2553的内部资源。

综上,方案四的系统成本低,性价比较高。方案的可行性也较高,外围电路简单,能产生较高的频率且可以满足此次参赛要求。所以本作品最终采用方案四。

四、程序流程图

1 主程序流程图

开机后,主程序首先关闭看门狗,接着对相应的I/O口、系统时钟、定时器TA进行初始化,完成后开总中断。然后,执行main函数。主程序流程图如图1.6所示。

图1.6 主程序流程图

2 定时器中断服务子程序流程图

定时器TA的中断服务程序中主要处理判断信号标志位key的值,然后输出相应值的波形。定时器中断服务程序流程图如图1.7所示。

3按键中断服务程序流程图

按键中断服务程序中主要处理波形的选择、频率的加减、信号输出使能等功能。按键中断服务程序流程图见图1.8所示。

图1.7 定时器中断服务子程序流程图

图1.8 按键中断服务子程序流程图

五、结论

本文设计的信号发生器基本达到了比赛的要求,能够实现正确波形,能步进100Hz调节输出波形的频率,输出频率也比较稳定。但是由于DA存在点问题(已被我焊坏),输出的波形放大能力不高。还有待改进。

表1.3是主要的波形测试结果。

附上系统完整的电路原理图如图1.9所示。

P2.2

P2.1P2.0MSP430

G2553

VCC P1.0P1.1P1.2P1.3P1.4

P1.5P2.0P2.1P2.2

P1.7GND XIN

XOUT TEST RST

P1.6P2.5P2.4P2.3GND GND VCC

LCD12864

G N D V C C V O R S R /W E D B 0D B 1D B 2D B 3D B 4D B 5D B 6D B 7P S B N C R S T V O U T B L A B L K

VCC

GND

R14

50Ω

R1810K 1

2+5V

-5V 8

1

32

1

U3A

2k

R21104C8

104

GND

GND

2k

TL082

R1

R2R3

R4C1C2

104

C3V OUT

I IN

图1.9 系统完整电路原理图

视频地址链接:优酷网络。因才上传到网络服务器暂时无法获取地址链接,下图

为证。已经上传《浙江工业大学第一届TI 杯MSP430大赛B 组作品视频展示—杨洪》的视频。

参考文献

[1]贾立新、王涌.电子系统设计与实践.北京.清华大学出版社.2011 [2]张毅刚 彭喜元.单片机原理及接口技术.人民邮电出版社.2011

附录:

源程序代码

//MSP430G2553串口连接12864显示,只用连接三个引脚,大大节省资源P2.4---r/w P2.3---SCLK P2.5---CS 主频在1Mhz下

#include

#include

#define uint unsigned int

#define uchar unsigned char

#define ulong unsigned long

unsigned char aa[16]={"P2.2正弦波长按"};

unsigned char bb[16]={"P2.1锯齿波长按"};

unsigned char cc[10]={"P2.0三角波"};

unsigned char dd[16]={"P2.1加频P2.0减频"};

unsigned char numt1[13]={193,196,164,198,158,132,113,99,88,79,72,66,61};

unsigned char numt2[13]={200,200,100,0,0,0,0,0,0,0,0,0,0};

unsigned char numt3[13]={200,0,0,0,0,0,0,0,0,0,0,0,0};

unsigned char numt4[13]={200,0,0,0,0,0,0,0,0,0,0,0,0};

unsigned char name[13][6]={" 100Hz"," 200Hz"," 300Hz"," 400Hz"," 500Hz"," 600Hz"," 700Hz"," 800Hz"," 900Hz","1000Hz","1100Hz","1200Hz","1300Hz"};

#define SID BIT4

#define SCLK BIT3

#define CS BIT5

#define LCDPORT P2OUT

#define SID_1 LCDPORT |= SID

#define SID_0 LCDPORT &= ~SID

#define SCLK_1 LCDPORT |= SCLK

#define SCLK_0 LCDPORT &= ~SCLK

#define CS_1 LCDPORT |= CS

#define CS_0 LCDPORT &= ~CS

uint key=0; //按下的按键编号

uint i=0;

uint k=0;

uint j=0;

uint tt=0;

uint Tccr0=158;

uint keynum=4;

uint tcout=0;

uint tcoutm=0;

uint ttcout=0;

//uint take=13;

#define CPU_F ((double)16000000)

#define delay_us(x) __delay_cycles((long)(CPU_F*(double)x/1000000.0)) #define delay_ms(x) __delay_cycles((long)(CPU_F*(double)x/1000.0))

static unsigned char temp=0xaa; //定时器

uchar sindata[200]={

127,131,135,139,143,147,151,155,159,162,166,170,174,177,181,185,188 ,192,195,198,202,205,208,211,214,217,220,222,225,227,230,232,234,236

,238,240,242,244,245,246,248,249,250,251,252,252,253,253,254,254,254

,254,254,253,253,252,252,251,250,249,248,246,245,244,242,240,238,236

,234,232,230,227,225,222,220,217,214,211,208,205,202,198,195,192,188

,185,181,177,174,170,166,162,159,155,151,147,143,139,135,131,127,123

,119,115,111,107,103, 99, 95, 92, 88, 84, 80, 77, 73, 69, 66, 62, 59

, 56, 52, 49, 46, 43, 40, 37, 34, 32, 29, 27, 24, 22, 20, 18, 16, 14

, 12, 10, 9, 8, 6, 5, 4, 3, 2, 2, 1, 1, 0, 0, 0, 0, 0

, 1, 1, 2, 2, 3, 4, 5, 6, 8, 9, 10, 12, 14, 16, 18, 20, 22

, 24, 27, 29, 32, 34, 37, 40, 43, 46, 49, 52, 56, 59, 62, 66, 69, 73

, 77, 80, 84, 88, 92, 95, 99,103,107,111,115,119,123};

/***********************************************************

*名称:LCD_Write_cmd()

*功能:写一个命令到LCD12864

*入口参数:cmd:待写入的命令,无符号字节形式

*出口参数:无

*说明:写入命令时,RW=0,RS=0 扩展成24位串行发送

*格式:11111 RW0 RS 0 xxxx0000 xxxx0000

* |最高的字节|命令的bit7~4|命令的bit3~0|

***********************************************************/ void write_cmd(uchar cmd)

{

uchar i,high4bits,low4bits;

ulong lcdcmd;

high4bits = cmd & 0xf0;

low4bits = cmd & 0x0f;

lcdcmd=((ulong)0xf8<<16)+((ulong)high4bits<<8)+((ulong)low4bits<<4); CS_1;

SCLK_0;

for(i=0;i<24;i++)

{

SID_0;

if(lcdcmd & 0x00800000) SID_1;

lcdcmd <<=1;

delay_ms(1);

delay_ms(1);

SCLK_0;

}

CS_0;

}

/*********************************************************** *名称:LCD_Write_Byte()

*功能:向LCD12864写入一个字节数据

*入口参数:byte:待写入的字符,无符号形式

*出口参数:无

*范例:LCD_Write_Byte('F') //写入字符'F'

***********************************************************/ void write_dat(uchar dat)

{

uchar i,high4bits,low4bits;

ulong lcddat;

high4bits = dat & 0xf0;

low4bits = dat & 0x0f;

lcddat=((ulong)0xfa<<16)+((ulong)high4bits<<8)+((ulong)low4bits<<4); CS_1;

SCLK_0;

for(i=0;i<24;i++)

{

SID_0;

if(lcddat & 0x00800000) SID_1;

lcddat <<=1;

delay_ms(1);

SCLK_1;

delay_ms(1);

SCLK_0;

}

CS_0;

}

/*********************************************************** *名称:LCD_pos()

*功能:设置液晶的显示位置

*入口参数:x:第几行,1~4对应第1行~第4行

* y:第几列,0~15对应第1列~第16列

*出口参数:无

*范例:LCD_pos(2,3) //第二行,第四列

***********************************************************/ void lcd_pos(uchar x,uchar y)

{

switch(x)

{

case 1:pos=0x80;break;

case 2:pos=0x90;break;

case 3:pos=0x88;break;

case 4:pos=0x98;break;

default:pos=0x80;

}

pos += y;

write_cmd(pos);

}

/****************************************************/ //LCD12864初始化

void LCD_init(void)

{

write_cmd(0x30);

write_cmd(0x0C);

write_cmd(0x01);

write_cmd(0x02);

}

void IO_init(void)

{

P1DIR=0xff;

P1REN=0x00;

P1SEL=0X00;

P1OUT=0x00;

P2SEL&=~(BIT4 + BIT3 + BIT5);

P2DIR = BIT4 + BIT3 + BIT5;

/* P2DIR|=BIT0; //DAC单缓冲模式

P2SEL&=~BIT0;

P2REN&=~BIT0;

P2OUT|=BIT0; //关闭dac输入*/

P2DIR&=~(BIT0+BIT1+BIT2); //输入

P2REN|=BIT0+BIT1+BIT2; //用于按键接地型

P2IE|=BIT0+BIT1+BIT2; //允许中断

P2IES|=BIT0+BIT1+BIT2; //下升沿触发

P2IFG&=~(BIT0+BIT1+BIT2); //消除中断标志

}

void display(void)

{

lcd_pos(1,0);

for(i=0;i<16;i++)

{

write_dat(aa[i]);

}

lcd_pos(2,0);

for(i=0;i<16;i++)

{

write_dat(bb[i]);

}

lcd_pos(3,0);

for(i=0;i<10;i++)

{

write_dat(cc[i]);

}

lcd_pos(4,0);

for(i=0;i<16;i++)

{

write_dat(dd[i]);

}

}

void DCO_init(void)

{

BCSCTL1 = CALBC1_16MHZ; //设定cpu时钟DCO频率为16MHz

DCOCTL = CALDCO_16MHZ;

// BCSCTL2|=SELM_1+DIVM_0; //SMCLK选择16MHz,不分频

// BCSCTL2&=~SELS;

}

void Timer_A0_init(void)

{

TA0CTL|=TASSEL_2+MC_2;//TA时钟源选择SMCLK,连续增计数模式TA0CCR0=158;

TA0CCTL0|=CCIE;//打开比较模块0中断

_EINT();

}

/****************************************************/

//定时器中断服务程序

/****************************************************/

#pragma vector =TIMER0_A0_VECTOR

__interrupt void Timer_A0(void) //CCIFG中断被响应后,该标志位自动清零{

if(key==222)

{

if(j<200)

{

P1OUT=sindata[j]; //正弦波产生

j++;

}

else

j=0;

TA0CCR0 +=Tccr0;

}

if(key==221)

{

if(tcout<200)

{

P1OUT=tcout; //锯齿波产生

tcout++;

}

else

tcout=0;

TA0CCR0 +=Tccr0;

}

if(key==220)

{

if(ttcout==0)

{

if(tcoutm<100)

{

P1OUT=tcoutm; //三角波产生上升段

tcoutm++;

}

else

ttcout=1;

TA0CCR0 +=(Tccr0-1);

}

if(ttcout==1)

{

if(tcoutm>0)

{

P1OUT=tcoutm; //三角波产生下降段

tcoutm--;

else

ttcout=0;

TA0CCR0 +=(Tccr0-1);

}

}

}

/***************************************************/

#pragma vector=PORT2_VECTOR

__interrupt void Port2(void)

{

_DINT(); //关中断

delay_ms(10); //延迟5ms,消抖延迟5ms 10ms都行

if((P2IN&BIT2)==0) //如果为低,即按键真的按下了因为是下降沿触发中断,所以要检测是否为低(P2IN&BIT2)==0

{

//正弦波

key=222;

tt=1;

LCD_init();

lcd_pos(1,0);

for(i=4;i<10;i++)

{

write_dat(aa[i]);

}

lcd_pos(3,0);

for(k=0;k<6;k++)

{

write_dat(name[keynum][k]);

}

}

if((P2IN&BIT1)==0)

{

delay_ms(1000);

if((P2IN&BIT1)==0)

{

// 锯齿波

key=221;

tt=1;

LCD_init();

lcd_pos(1,0);

for(i=4;i<10;i++)

{

write_dat(bb[i]);

lcd_pos(3,0);

for(k=0;k<6;k++)

{

write_dat(name[keynum][k]);

}

}

else

{

if(tt==1)

{

if(keynum<12)

keynum++;

else

keynum=0;

Tccr0=numt1[keynum]+numt2[keynum]+numt3[keynum]+numt4[keynum];

lcd_pos(3,0);

for(k=0;k<6;k++)

{

write_dat(name[keynum][k]);

}

}

}

}

if((P2IN&BIT0)==0)

{

delay_ms(1000);

if((P2IN&BIT0)==0)

{

//三角波

key=220;

tt=1;

LCD_init();

lcd_pos(1,0);

for(i=4;i<10;i++)

{

write_dat(cc[i]);

}

lcd_pos(3,0);

for(k=0;k<6;k++)

{

write_dat(name[keynum][k]);

}

}

{

if(tt==1)

{

if(keynum>0)

keynum--;

else

keynum=12;

Tccr0=numt1[keynum]+numt2[keynum]+numt3[keynum]+numt4[keynum];

lcd_pos(3,0);

for(k=0;k<6;k++)

{

write_dat(name[keynum][k]);

}

}

}

}

P2IFG &=~(BIT0+BIT1+BIT2); // P2.2 IFG cleared 软件清除中断标志位_EINT(); //开中断

}

/***************************************************/

/******************************************************/

int main(void)

{

// Stop watchdog timer to prevent time out reset

WDTCTL = WDTPW + WDTHOLD;

IO_init();

DCO_init();

LCD_init();

display();

Timer_A0_init();

while(1)

{

LPM0;

}

return 0;

}

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

开题报告(简易多功能信号发生器)

枣庄学院 本科生毕业设计(论文) 开题报告 (20**届) 简易多功能信号发生器的电路设计 姓名:*** 学号:20080613**** 专业:过程装备与控制工程 班级:2008级本科(*)班 学院:机电工程学院 指导老师:** 20**年2月25日

一、研究的目的与意义 信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。虚拟仪器是测试技术与计算机深层次结合的产物,其实质是利用最新的计算机技术来实现和扩展传统仪器的功能。虚拟仪器应用软件是整个系统的关键。因此,从某种意义上可以说:软件就是仪器。与传统的仪器相比,虚拟仪器更通用、更灵活、更经济,而且更能适应当代科学技术对测量仪器不断提出的更新、扩展功能和性能的要求。 二、国内外的研究状况 波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用 555 振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的 RC 很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度会大大增加。 波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,

信号发生器设计(附仿真)

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:□验证□综合□设计□创新实验日期:实验成绩: 信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U p-p =6V,正弦波U p-p>1V。 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时),三角波r△<2%,正弦波r~<5%。 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V 应接近晶体管的截止电压值。 m 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2 调整电路的对称性,并联电阻R E2 用来减小差 分放大器的线性区。C 1、C 2 、C 3 为隔直电容,C 4 为滤波电容,以滤除谐波分量,改善输出 波形。 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n个波段范围。 ③输出电压:一般指输出波形的峰-峰值U p-p。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r~和r△;表征方波特性的参数是上升时间t r。 四、电路仿真与分析

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

简易信号发生器课程设计1

2011~ 2012学年第二学期 《简易信号发生器》 课程设计报告 题目:简易信号发生器设计 专业:电气工程及其自动化 班级:10电气工程本一班 姓名:李鹏、王松、薛冬冬、汤聪、戚传 东、刘欢冯登宇、张正义、、靳垒垒、 杨磊 指导教师:江春红 电气工程系 2012年5月04日

1、任务书

-1- 简易信号发生器设计 摘要 信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。它根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038,Max038以及国产的5G8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 通过这次设计,我们的理论知识掌握得更扎实,动手能力明显提高。同时,通过网上搜索等多方面的查询资料,我们学到许多在书本上没有的知识,也认识到理论联系实践的重要。理论学得好,但如果只会纸上谈兵,一点用都没有。以后也很难找到工作。通过本次设计能使我们对电子工艺的理论有了更进一步的系统了解。我们了解到了设计小电子产品的一些常规方法,以及培养了我们团队合作的能力,在讨论设计方案,计算元件参数,购买元件,制作电路板,安装调试方面都体会到了团队的力量。 本次课程设计的课题是简易信号发生器,本课程设计将简易信号的工作原理、参数计算、元件选取等做详细的介绍和说明。

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

简易矩形波发生器报告

数字电路设计研讨 --简易矩形波信号发生器 姓名:尹晨洋 学号:13211023 班级:通信1301 同组成员:程永涛 学号:13211007 指导老师:任希

目录 一、综述************************************************************ 1 二、电路元件结构及工作原理***************************** 1 1)、555计数器******************************************************** 1 2)、74ls160同步计数器************************************************ 2 3)、74ls175 4位寄存器************************************************* 4三、频率可调的矩形波发生器***************************** 4 1)、频率可调的矩形波发生器电路图仿真电路图******************************* 4 2)、频率可调的矩形波发生器工作原理分析*********************************** 4 3)、仿真结果分析******************************************************** 5四、可显示频率计数器***************************************** 6 1)、可显示频率计数器仿真电路图******************************************** 6 2)、工作原理分析********************************************************* 6 3)、仿真结果分析********************************************************** 7 4)、实验误差************************************************************** 9 五、总结与体会************************************************** 9 六、参考文献*******************************************************

基于STM32的简易信号发生器

绍兴文理学院 数理信息学院 课程设计报告书题目基于STM32的简易信号发生器电子信息工程专业 1班 姓名 xxx 指导教师 xxx 时间 2014年 7月12日

课程设计任务书

基于STM32的简易波形发生器 摘要 函数信号发生器是一种能够产生多种波形,如正弦波、方波、三角波、锯齿波等的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出以上波形的波形发生器。本课题采用STM32[1]为控制芯片,采用DDS[2]的设计方法,可将采样点经D/A[3]转换后输出任意波形,可通过调节D/A转换的频率来调节输出波形的频率,也可通过改变取点的起始位置来调节波形的初始相位。 关键词信号发生器STM32 DDS

目录 课程设计任务书.............................................................................................................................. I 摘要……………………………………………………………………………………………….II 1 设计概述 (1) 2 设计方案 (2) 3 设计实现 (3) 3.1 设计框图及流程图 (3) 3.2 MCU控制模块 (5) 3.3 按键控制模块 (5) 3.4 信号输出模块 (6) 3.5 LCD显示模块 (8) 4 设计验证 (8) 5 总结 (11)

1设计概述 信号发生器作为一种历史悠久的测量仪器,早在20年代电子设备刚出现时就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使得信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。同时还出现了可用来测量脉冲电路或作脉冲调制器的脉冲信号发生器。 自60年代以来信号发生器有了迅速的发展,出现了函数发生器。这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。 自从70年代微处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对D/A的程序控制,就可以得到各种简单的波形。 在80年代以后,数字技术日益成熟,信号发生器绝大部分不再使用机械驱动而采用数字电路,从一个频率基准有数字合成电路产生可变频率信号。 90年代末出现了集中真正高性能的函数信号发生器,HP公司推出了型号为HP770S的信号模拟装置系统,它是由HP8770A任意波形数字化和HP1770A波形发生软件组成。 信号发生器技术发展至今,引导技术潮流的仍是国外的几大仪器公司,如日本横河、Agilent、Tektronix等。美国的FLUKE公司的FLUKE-25型函数发生器是现有的测试仪器中最具多样性功能的几种仪器之一,它和频率计数器组合在一起,在任何条件下都可以给出很高的波形质量,能给出低失真的正弦波和三角波,还能给出过冲很小的快沿方波,其最高频率可达到5MHz,最大输出幅度可达到10Vpp。 国内也有不少公司已经有了类似的仪器。如南京盛普仪器科技有限公司的SPF120DDS信号发生器,华高仪器生产的HG1600H型数字合成函数\任意波形信号发生器。国内信号发生器起步晚,但发展至今,已经渐渐跟上国际的脚步,能够利用高新技术开发出达到国际水平的高性能多功能信号发生器。 信号发生器在生产实践和科技领域中有着广泛的应用,各种波形曲线均可用三角函数方程式来表达。函数信号发生器是各种测试和实验过程中不可缺少的工具,在通信、测量 雷达、控制教学等领域应用十分广泛。不论是在生产、科研还是在教学上,信号发生器都是电子工程师信号仿真实验的最佳工具。而且,信号发生器的设计

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于单片机的多功能信号发生器的系统设计与应用

基于单片机的多功能信号发生器的系统设计与应用 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。例如在通信、广播、电视系统中,都需要射频(高频)发射,这里的射频波就是载波,把音频(低频)、视频信号或脉冲信号运载出去,就需要能够产生高频的振荡器。在工业、农业、生物医学等领域内,如高频感应加热、熔炼、淬火、超声诊断、核磁共振成像等,都需要功率或大或小、频率或高或低的振荡器。 随着集成芯片制造工艺的进一步发展,一些高性能的波形产生专用芯片逐渐被应用到该领域并获得成功。波形发生装置的电路设计得到进一步简化,而与此同时,所产生的波形的质量却得到了显著提高。例如应用比较广泛的DDS芯片AD9833系列,能制作出各种频带宽,质量高的波形信号,例如应用高性能的AD9833芯片,可以做出频率1GHZ以上,频率分辨率0.1HZ以下的优质波形[2]。 科技不断发展,在各个领域对信号产生电路提出了越来越高的要求。以往那些只具有单一优势的波形发生装置的应用越来越受到限制。例如用模拟器件构成的波形发生器电路简单可靠、信号频率较高,但可调节性差;采用数字电路为核心的波形发生装置所产生的信号可调节性好,但电路复杂,而频率又不易做的很高。较为理想的波形发生装置应该同时具备多方面的优良品质,信号的频带应该较宽,而且步进精确。另外,微型化也是信号产生装置的发展趋势之一,这样,才能将信号发生装置方便的嵌入到各种仪器设备中。随着芯片制造工艺的不断提高,性能更高、体积更小的专用信号处理芯片必将会越来越多地应用到信号产生电路中,使更高质量的信号的产生成为可能。 DDS技术的实现,一般有如下几种可选的方案。首先是使用专用的DDS芯片,例如应用比较广泛的DDS芯片AD9833系列。专用DDS芯片性能可靠,特别是在高频领域,有着无可替代的地位。但在中低频领域,专用DDS芯片却不一定是唯一的选择。

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

信号发生器实验报告(DOC)

信号发生器 F组 组长:*** 组员:***、*** 2013年8月12日星期一

1系统方案 (4) 1.1系统方案论证与选择 (4) 1.2方案描述 (4) 2理论分析与计算 (5) 3电路与程序设计 (6) 3.1电路的设计 (6) 3.1.1 ICL8038模块电路 (6) 3.1.2 放大电路 (6) 3.2程序的设计 (7) 4测试方案与测试结果 (9) 4.1测试仪器与结果 (9) 4.2调试出现的问题及解决方案 (9) 5 小结 (10)

本系统设计的是信号发生器,是以 ICL8038和 STC89C51为核心设计的数控及扫频函数信号发生器。ICL8038作为函数信号源结合外围电路产生占空比和频率可调的正弦波、方波、三角波;该函数信号发生器的频率可调范围1~100kHz,波形稳定,无明显失真。单片机控制LCD12864液晶显示频率、频段和波形名称。 关键字:信号发生器ICL8038、 STC89C51、波形、LCD12864

信号发生器实验报告 1系统方案 1.1系统方案论证与选择 方案一:由单片机内部产生波形,经DAC0832输出,然后再经过uA741放大信号后,最后经过CD4046和CD4518组成的锁相环放大频率输出波形,可是输出的波形频率太低,达不到设计要求。 方案二:采用单片机对信号发生器MAX038芯片进行程序控制的函数发生器,该发生器有正弦波、三角波和方波信号三种波形,输出信号频率在0.1Hz~100MHz 范围内。MAX038为核心构成硬件电路能自动地反馈控制输出频率,通过按键选择波形,调节频率,可是MAX038芯片价格太高,过于昂贵。 方案三:利用芯片ICL8038产生正弦波、方波和三角波三种波形,根据电阻和电容的不同可以调节波形的频率和占空比,产生的波形频率足够大,能达到设计要求,而且ICL8038价格比较便宜,设计起来成本较低。 综上所述,所以选择第三个方案来设计信号发生器。 1.2方案描述 本次设计方案是由ICL8038 芯片和外围电路产生三种波形,由公式: ,改变电阻和电容的大小可以改变波形的频率,有开关控制频段和波形并给单片机一个信号,由单片机识别并在LCD液晶屏上显示,电路的系统法案框图为下图1所示: 图1 总系统框图

多功能信号发生器课程设计要点

课题:多功能信号发生器专业:电子信息工程 班级:1班 学号: 姓名: 指导教师:汪鑫 设计日期: 成绩: 重庆大学城市科技学院电气学院

多功能信号发生器设计报告 一、设计目的作用 1.掌握简易信号发生器的设计、组装与调试方法。 2.能熟练使用multisim10电路仿真软件对电路进行设计仿真调试。 3.加深对模拟电子技术相关知识的理解及应用。 二、设计要求 1.设计任务 设计一个能够输出正弦波、方波、三角波三种波形的信号发生器,性能要求如下: (1)输出频率,f=20Hz-5kHz 连续可调的正弦波、方波、三角波; (2)输出正弦波幅度V=0-5V可调,波形的非线性失真系数<=5%; (3)输出三角波幅度V=0-5V可调。 (4)输出方波幅度可在V=0-12V之间可调。 2.设计要求 (1)设计电路,计算电路元件参数,拟定测试方案和步骤; (2)测量技术指标参数; (3)写出设计报告。 三、设计的具体实现 1、系统概述 1.1正弦波发生电路的工作原理: 产生正弦振荡的条件: 正弦波产生电路的目的就是使电路产生一定频率和幅度的正弦波,我们一般在放大电路中引入正反馈,并创造条件,使其产生稳定可靠的振荡。正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路;反馈网络;选频网络;稳幅电路个部分。 正弦波振荡电路的组成判断及分类: (1)放大电路:保证电路能够有从起振到动态平衡的过程,电路获得一定幅值的输出值,实现自由控制。 (2)选频网络:确定电路的振荡频率,是电路产生单一频率的振荡,即保证电路产生正弦波振荡。 (3)正反馈网络:引入正反馈,使放大电路的输入信号等于其反馈信号。(4)稳幅环节:也就是非线性环节,作用是输出信号幅值稳定。 判断电路是否振荡。方法是: (1)是否满足相位条件,即电路是否是正反馈,只有满足相位条件才可能产

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关主题
文本预览
相关文档 最新文档