当前位置:文档之家› multisim使用说明

multisim使用说明

multisim使用说明
multisim使用说明

Multisim 2001 使用简介

Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。

第一节Multisim概貌

软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。

一、Multisim的主窗口界面。

启动Multisim 2001后,将出现如图1所示的界面。

界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。

二、菜单栏

菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。

不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File

File菜单中包含了对文件和项目的基本操作以及打印等命令。

2. Edit

Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。

3.View

通过View菜单可以决定使用软件时的视图,对一些工具栏和窗口进行控制。

4.Place

通过Place命令输入电路图。

5.Simulate

通过Simulate菜单执行仿真分析命令。

6.Transfer菜单

Transfer菜单提供的命令可以完成Multisim对其它EDA软件需要的文件格式的输出。

7.Tools

Tools菜单主要针对元器件的编辑与管理的命令。

8.Options

通过Option菜单可以对软件的运行环境进行定制和设置。

9.Help

Help菜单提供了对Multisim的在线帮助和辅助说明。

三、工具栏

Multisim 2001提供了多种工具栏,并以层次化的模式加以管理,用户可以通过View菜单中的选项方便地将顶层的工具栏打开或关闭,再通过顶层工具栏中的按钮来管理和控制下层的工具栏。通过工具栏,用户可以方便直接地使用软件的各项功能。

顶层的工具栏有:Standard工具栏、Design工具栏、Zoom工具栏,Simulation工具栏。

1.Standard工具栏包含了常见的文件操作和编辑操作,如下图所示:

2.Design工具栏作为设计工具栏是Multisim的核心工具栏,通过对该工作栏按钮的操作可以完成对电路从设计到分析的全部工作,其中的按钮可以直接开关下层的工具栏:Component中的Multisim Master工具栏,Instrument工具栏。

(1)作为元器件(Component)工具栏中的一项,可以在Design工具栏中通过按钮来开关Multisim Master工具栏。该工具栏有14个按钮,每个每一个按钮都对应一类元器件,其分类方式和Multisim元器件数据库中的分类相对应,通过按钮上图标就可大致清楚该类元器件的类型。具体的内容可以从Multisim的在线文档中获取。

这个工具栏作为元器件的顶层工具栏,每一个按钮又可以开关下层的工具栏,下层工具栏是对该类元器件更细致的分类工具栏。以第一个按钮为例。通过这个按钮可以开关电源和信号源类的Sources工具

栏如下图所示:

(2)Instruments工具栏集中了Multisim为用户提供的所有虚拟仪器仪表,用户可以通过按钮选择自己需要的仪器对电路进行观测。

3.用户可以通过Zoom工具栏方便地调整所编辑电路的视图大小。

4.Simulation工具栏可以控制电路仿真的开始、结束和暂停。

第二节Multisim对元器件的管理

EDA软件所能提供的元器件的多少以及元器件模型的准确性都直接决定了该EDA软件的质量和易用性。Multisim为用户提供了丰富的元器件,并以开放的形式管理元器件,使得用户能够自己添加所需要的元器件。

Multisim以库的形式管理元器件,通过菜单Tools/ Database Management打开Database Management(数据库管理)窗口(如下图所示),对元器件库进行管理。

在Database Management窗口中的Daltabase列表中有两个数据库:Multisim Master和User。其中Multisim Master库中存放的是软件为用户提供的元器件,User是为用户自建元器件准备的数据库。用户对Multisim Master数据库中的元器件和表示方式没有编辑权。当选中Multisim Master时,窗口中对库的编辑按钮全部失效而变成灰色,如下图所示。但用户可以通过这个对话窗口中的Button in Toolbar显示框,查找库中不同类别器件在工具栏中的表示方法。

据此用户可以通过选择User数据库,进而对自建元器件进行编辑管理。

在Multisim Master中有实际元器件和虚拟元器件,它们之间根本差别在于:一种是与实际元器件的型号、参数值以及封装都相对应的元器件,在设计中选用此类器件,不仅可以使设计仿真与实际情况有良好的对应性,还可以直接将设计导出到Ultiboard中进行PCB的设计。另一种器件的参数值是该类器件的典型值,不与实际器件对应,用户可以根据需要改变器件模型的参数值,只能用于仿真,这类器件称为虚拟器件。它们在工具栏和对话窗口中的表示方法也不同。在元器件工具栏中,虽然代表虚拟器件的按钮的图标与该类实际器件的图标形状相同,但虚拟器件的按钮有底色,而实际器件没有,如下图所示。

从图中可以看到,相同类型的实际元器件和虚拟元器件的按钮并排排列,并非所有的是元器件都设有虚拟类的器件。

在元器件类型列标中,虚拟元器件类的后缀标有Virtual,如下图所示:

第三节输入并编辑电路

输入电路图是分析和设计工作的第一步,用户从元器件库中选择需要的元器件放置在电路图中并连接起来,为分析和仿真做准备。

一、设置Multisim的通用环境变量

为了适应不同的需求和用户习惯,用户可以用菜单Option/Preferences打开Preferences对话窗口,如下图所示。

通过该窗口的6个标签选项,用户可以就编辑界面颜色、电路尺寸、缩放比例、自动存储时间等内容作相应的设置。

以标签Workspace为例,当选中该标签时,Preferences对话框如下图所示:

在这个对话窗口中有3个分项:

1.Show:可以设置是否显示网格,页边界以及标题框。

2.Sheet size:设置电路图页面大小。

3.Zoom level:设置缩放比例。

其余的标签选项在此不再详述。

二、取用元器件

取用元器件的方法有两种:从工具栏取用或从菜单取用。下面将以74LS00为例说明两种方法。

1.从工具栏取用:Design工具栏→Multisim Master工具栏→TTL工具栏→74LS按钮

从TTL工具栏中选择74LS按钮打开这类器件的Component Browser窗口,如下图所示。其中包含的字段有Database name(元器件数据库),Component Family(元器件类型列表),Component Name List(元器件名细表),Manufacture Names(生产厂家),Model Level-ID(模型层次)等内容。

2.从菜单取用:通过Place/ Place Component命令打开Component Browser窗口。该窗口与上图一样。

3.选中相应的元器件

在Component Family Name中选择74LS系列,在Component Name List中选择74LS00。单击OK按钮就可以选中74LS00,出现如下备选窗口。7400是四/二输入与非门,在窗口种的Section A/B/C/D分别代表其中的一个与非门,用鼠标选中

其中的一个放置在电路图编辑窗口中,如左图所示。器件在电路图中显示的图形符号,用户可以在上面的Component Browser中的

Symbol选项框中预览到。当器件放置到电路编辑窗口中后,用户就可以进行移动、复制、粘贴等编辑工作了,在此不再详述。

三、将元器件连接成电路

在将电路需要的元器件放置在电路编辑窗口后,用鼠标就可以方便地将器件连接起来。方法是:用鼠标单击连线的起点并拖动鼠标至连线的终点。在Multisim中连线的起点和终点不能悬空。

第四节虚拟仪器及其使用

对电路进行仿真运行,通过对运行结果的分析,判断设计是否正确合理,是EDA软件的一项主要功能。为此,Multisim为用户提供了类型丰富的虚拟仪器,可以从Design工具栏 Instruments工具栏,或用菜单命令(Simulation/ instrument)选用这11种仪表,如下图所示。在选用后,各种虚拟仪表都以面板的方式显示在电路中。

下面将11种虚拟仪器的名称及表示方法总结如下表:

注1:该软件中用

’ 代替 — 表示反变量,例如

A A '=。

注2

:该软件没有异或符号,处理方式是将异或运算写成

B A B A B A '+'=⊕。

在电路中选用了相应的虚拟仪器后,将需要观测的电路点与虚拟仪器面板上的观测口相连(如下图),可以用虚拟示波器同时观测电路中两点的波形。

双击虚拟仪器就会出现仪器面板,面板为用户提供观测窗口和参数设定按钮。以上图为

例,双击图中的示波器,就会出现示波器的面板。通过Simulation工具栏启动电路仿真,示波器面板的窗口中就会出现被观测点的波形,如下图所示。

第五节电路实例

这节将以3个电路实例说明Multisim在电路设计和分析中的使用方法。Multisim的基础是正向仿真,为用户提供了一个软件平台,允许用户在进行硬件实现以前,对电路进行观测和分析。

例1.构造同步16进制计数器,并用7段数码管进行观测(文件名:counter.msm)。通过运行仿真验证电路功能。在这个电路的基础上将计数器改为10进制,并通过仿真验证修改结果是否正确(注:显示0~9)。

首先选用T触发器和带译码的7段数码管和与门一起构成4位16进制计数器如下图。在电路中选用1Hz矩形波发生器,通过仿真观测运行的情况。

使用异步置零法,在图中加入反馈电路,当触发器的状态变为1010时通过Reset端对触发器进行清零。电路设计结果如下图。通过仿真可以观测到电路已经成为10进制计数器(文件名:counterb.msm)。

例2.分析已经给出的阶梯波发生器。电路如下图(文件名:Stepwave.msm)。通过运行仿真观测电路的功能,通过改变信号源的参数来改变阶梯波的频率,同时用示波器进行观测。

从图中可以看到,电路大致分为两个部分,上部分为4个T触发器和相应门电路构成的16进制计数器,下部分为D/A转换器。电路的信号源为矩形波发生器,通过示波器观测到的波形如下图。

例3.分析下图给出的电路(文件名:555.msm),并通过仿真观测电路的功能。

在电路中将555的两个输入端连在一起作为信号输入端,输入为正弦波信号。整个电路的功能为施密特触发器,它将输入的正弦信号变换为矩形波信号。通过示波器观测到电路的运行情况如下图。

本文包含自定义封装的添加

Multisim12元件库的添加

Ultiboard12 元件自定义封装的添加

相信使用Multisim元件的朋友都遇到过这样一个问题 软件的元件库中找不到自己希望的元件。解决方法之一是自己去下载一个SPICE模型 然后添加到元件库。

multisim12 添加元件

在multisim 中选Tools 再选Component Wizard然后按下图进行(提示有8步):

在NI Multisim中创建自定义元器件

概览

NI Multisim 与NI Ultiboard为设计、仿真和布局完整的印制电路板(PCB)提供了一个集成的平台。高度灵活的数据库管理程序,使得为自定义原理图符号添加新的SPICE仿真模型变得十分方便,该原理图符号可用于将精确的封装转换为布局。

在NI Multisim中创建自定义元器件与在NI Ultiboard中创建自定义元器件为您提供了关于如何直观、快速地学习如何创建您自己的自定义元器件的信息资源。

目录

1. 引言

2. 步骤一:输入初始元器件信息

3. 步骤二:输入封装信息

4. 步骤三:输入符号信息

5. 步骤四:设置管脚参数

6. 步骤五:设置符号与布局封装间的映射信息

7. 步骤六:选择仿真模型

8. 步骤七:实现符号管脚至模型节点的映射

9. 步骤八:将元器件保存到数据库中

10. 步骤九:测试Multisim中的新元器件

1. 引言

本指南是关于在NI Multisim与NI Ultiboard上创建元器件的系列文章的第一篇。

本指南旨在阐述您如何可以在Multisim中创建您自己的用于仿真和/或印制电路板(PCB)布局的元器件。您将可以创建元器件并验证其操作。元器件向导是用于创建自定义元器件的主要工具,它引导您完成创建一个新元器件所需要的所有步骤。元器件细节包括符号与可选的管脚、模型和管封装信息。某元器件创建过程包括以下步骤:

?输入元器件信息

?选择封装与元器件配置

?选择和/或编辑元器件符号

?设置管脚参数

?将符号管脚映射至封装管脚

?选择仿真模型

?将符号管脚映射至模型管脚

?将其保存于数据库

该指南逐步引导您完成创建一个与仿真和PCB布局兼容的元器件的过程。为完整起见,您将学习如何创建一个有2个部件的高级元器件。您将创建一个具有两个原理图符号、两个模型但只有一个封装的部件。许多元器件可以更方便地被创建,在大多数情况下这里列出的步骤并不是全部必需的。Multisim也支持用户创建仅用于仿真或仅用于布局的元器件。

元器件创建系列文章的第二部分——名为《在NI Ultiboard中创建自定义元器件》,简述了如何构建一个用于布局的自定义Ultiboard焊盘图形。该焊盘图形由手工创建,以便精确定义表面贴装元件(SMD)的形状、尺寸和大小。该封装可添加至Multisim数据库以定义一个自定义元器件。

单部件元器件与多部件元器件

一个单部件元器件是指每个芯片上仅具有单个元件的元器件。而一个多部件元器件是一个在每个芯片上具有多个门或元件的元器件。多部件元器件的例子包括逻辑门或运算放大器。A到Z递增的字母列举了多部件元器件内的设备。

Texas Instruments? THS7001便是多部件元器件的一个例子。THS7001的可编程增益放大器(PGA)和独立的前置放大器级是封装在单个集成电路(IC)中的,两个元件共享电源和参考电压线路。您将在该指南中学习如何创建这一元器件。

仅用于仿真的元器件

仅用于仿真的元器件,其设计在于帮助验证设计,这些元器件并不会转换为电路板布局。它们不具有封装信息,而其符号在Multisim或Multicap环境中默认设置为黑色以方便识别。仅用于仿真的元器件的一个范例便是一个理想电压源。

仅用于布局的元器件

仅用于布局的元器件无法用于仿真。它们不具有相关的SPICE、VHDL或行为模型。当与电路并行连接时,它们并不影响仿真。当串行连接时,它们将创建一个开环电路。仅用于布局的元器件在Multisim或Multicap 环境中设置为绿色。仅用于布局的元器件的一个范例便是一个连接器。

在NI Multisim中创建一个TexasInstruments? THS7001元器件

THS7001是一个带有独立前置放大器级的可编程增益放大器(PGA)。可编程增益通过三个TTL兼容的输入进行数字控制。下面的附录A包含有THS7001的数据表供参考。

至页首

2. 步骤一:输入初始元器件信息

从Multisim主菜单中选择工具?元器件向导,启动元器件向导。

通过这一窗口,输入初始元器件信息(图1)。选择元器件类型和用途(仿真、布局或两者兼具)。

完成时选择下一步>。

图1-THS7001元器件信息

至页首

3. 步骤二:输入封装信息

a) 选择封装以便为该元器件选择一种封装。

注意:在创建一个仅用于仿真的元器件时,封装信息栏被置成灰色。

图2-选择一种管脚(第1步(共2步))

b.) TSSOP20 from the Master Database. Choose Select when done.选择制造商数据表所列出的封装。针对THS7001,从主数据库中选择TSSOP20。完成时点击选择。

注意:如果知道封装的名称,您也可以在封装类型栏内直接输入该名称。

图3-选择一种封装(第2步(共2步))

c.)定义元器件各部件的名称及其管脚数目。此例中,该元器件包括两个部件:A为前置放大器部件,B为可编程增益放大器部件。

注意1:在创建多部件元器件时,管脚的数目必须与将用于该部件符号的管脚数目相匹配,而不是与封装的管脚数目相匹配。

注意2:对于THS7001,需要为这两个部件的符号添加接地管脚和关闭节能选项的管脚。

完成时选择下一步。

图4-定义多部件的第1步(共2步)。

图5-定义一个多部件的第2步(共2步)

注意:如需了解如何在NI Ultiboard中创建一个自定义封装,请查阅《在NI Ultiboard中创建自定义元器件》。

至页首

4. 步骤三:输入符号信息

在定义部件、选择封装之后,就要为每个部件指定符号信息。您可以通过在符号编辑器(选择编辑)中对符号进行编辑或者从数据库中拷贝现有符号(选择从DB拷贝),完成符号指定。在创建自定义部件时,为缩短开发时间,建议您在可能的情况下从数据库中拷贝现有符号。您也可以将符号文件加载到符号编辑器中。本指南中THS7001涉及的符号是作为文件被包括进来的。

a.)为前置放大器设备加载符号:

选择编辑以打开符号编辑器。

一旦加载符号编辑器之后,选择文件?打开并找到保存指南文件的地方。选择preamp.sym。所加载的符号如下面的图6所示。

注意1:除了常见的关闭管脚和接地管脚,其他管脚的名称均带有前缀“PA”这样便于区分前置放大器部分的管脚名称和可编程增益放大器部分的管脚名称。

注意2:为确保共享管脚能够在获取环境中正确工作,它们必须在不同部分具有相同的名称。此外,在步骤4中它们必须被分配给COM(公共)部分。

图6-前置放大器符号

选择符号编辑器。如询问是否保存,选择“是”。

前置放大器符号现在将被显示在预览框中。如果您打算与世界各地的同事共享这一元器件,那么同时为该设备创建ANSI和DIN符号是个不错的选择。仅须简单地选中拷贝至…,然后选择唯一可见的选项Section A (ANSI) or Section A (DIN)。

b.)为PGA加载符号。

选择设备B并选择编辑以启动符号编辑器。

选中文件?打开并找到保存指南文件的地方,选择preamp.sym。所得到的符号如下面的图7所示。

最详细最好的Multisim仿真教程

第13章Multisim模拟电路仿真本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。 目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image T echnologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。 1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim 经历了多个版本的升级,已经有Multisim2001、Multisim7、Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。

Multisim基础使用方法详解

第2章Multisim9的基本分析方法 主要容 ? 2.1 直流工作点分析(DC Operating Point Analysis ) ? 2.2 交流分析(AC Analysis) ? 2.3 瞬态分析(Transient Analysis) ? 2.4 傅立叶分析(Fourier Analysis) ? 2.5 失真分析(Distortion Analysis) ? 2.6 噪声分析(Noise Analysis) ? 2.7 直流扫描分析(DC Sweep Analysis) ? 2.8 参数扫描分析(Parameter Sweep Analysis) 2.1 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路 为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。

注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 2.Analysis Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果

multisim12.0汉化版 附详细安装教程

multisim12.0汉化破解版附详细安装教程 软件名称:multisim12.0汉化破解版附详细安装教程 软件大小:562MB 软件语言:简体中文 软件介绍: multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI Lab ⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色: 1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。multisim12.0汉化破解安装方法: 1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”;

Multisim基础使用方法详解

M u l t i s i m基础使用方 法详解 集团标准化工作小组 #Q8QGGQT-GX8G08Q8-GNQGJ8-MHHGN#

第2章 Multisim9的基本分析方法 主要内容 ?直流工作点分析(DC Operating Point Analysis ) ?交流分析(AC Analysis) ?瞬态分析(Transient Analysis) ?傅立叶分析(Fourier Analysis) ?失真分析(Distortion Analysis) ?噪声分析(Noise Analysis) ?直流扫描分析(DC Sweep Analysis) ?参数扫描分析(Parameter Sweep Analysis) 直流工作点分析 直流工作点分析也称静态工作点分析,电路的直流分析是在电路中电容开路、电感短路时,计算电路的直流工作点,即在恒定激励条件下求电路的稳态值。 在电路工作时,无论是大信号还是小信号,都必须给半导体器件以正确的偏置,以便使其工作在所需的区域,这就是直流分析要解决的问题。了解电路的直流工作点,才能进一步分析电路在交流信号作用下电路能否正常工作。求解电路的直流工作点在电路分析过程中是至关重要的。 2.1.1构造电路

为了分析电路的交流信号是否能正常放大,必须了解电路的直流工作点设置得是否合理,所以首先应对电路得直流工作点进行分析。在Multisim9工作区构造一个单管放大电路,电路中电源电压、各电阻和电容取值如图所示。 注意:图中的1,2,3,4,5等编号可以从Options---sheet properties—circuit—show all 调试出来。 执行菜单命令(仿真)Simulate/(分析)Analyses,在列出的可操作分析类型中选择DC Operating Point,则出现直流工作点分析对话框,如图A所示。直流工作点分析对话框B。 1. Output 选项 Output用于选定需要分析的节点。 左边Variables in circuit 栏内列出电路中各节点电压变量和流过电源的电流变量。右边Selected variables for 栏用于存放需要分析的节点。 具体做法是先在左边Variables in circuit 栏内中选中需要分析的变量(可以通过鼠标拖拉进行全选),再单击Add按钮,相应变量则会出现在Selected variables for 栏中。如果Selected variables for 栏中的某个变量不需要分析,则先选中它,然后点击Remove按钮,该变量将会回到左边Variables in circuit 栏中。 Options 和Summary选项表示:分析的参数设置和Summary页中排列了该分析所设置的所有参数和选项。用户通过检查可以确认这些参数的设置。 2.1.3 检查测试结果 点击B图下部Simulate按钮,测试结果如图所示。测试结果给出电路各个节点的电压值。根据这些电压的大小,可以确定该电路的静态工作点是否合理。如果不合理,可以

Multisim14使用multisim12元件库的方法

Multisim14使用multisim12元件库的方法 如题,步骤如下: 1、下载multisim12,multisim14,multisim12库文件。 2、安装multisim14,安装multisim12,安装方法及安装包自己百度 3、打开multisim12,导入multisim12库文件。工具----数据库----数据库管 理器---导入-----选择下载好的数据库,按照提示操作。 4、导入成功后,打开数据库管理器(打开顺序:工具----数据库---数据库管 理器),点击右下角的关于,查找已导入数据库的存放位置。如导入到用户数据,则复制用户数据库地址,如下图,我的存放地址为:C:\Users\Administrator\AppData\Roaming\National Instruments\Circuit Design Suite\\database 5、打开数据库存放位置,可看到当前数据库, usr文件为数据库文件。 6、关闭multisim12,运行multisim14,执行工具----数据库----转换数据库 ---选择v12→v14-----选择源数据库名称 7、打开到multisim12中usr库文件存放位置,即第四步所示地址,右下角选 择所有文件,这是可看到第三步导入的库文件存放文件,选择该文件,点击打开,点击开始,选择自动重命名或覆盖、忽略,点击确定。

8、等待导入结束后,即可使用。 该方法可用于其他版本数据库导入,如multisim10数据库导入multisim12或14等。 另外,也可以下载别人转换好的数据库文件,但是是否可行,有待验证。

multisim12安装教程

安装步骤: 1、选择下载的软件压缩包,鼠标右击选择解压到“mulitisim12\(E)”。 2、在解压文件夹找到NI_Circuit_Design_Suite_12.0.exe鼠标右击选择打开。 3、点击确定。 4、点击Unzip解压。 5、点击确定。 6、点击Install NI CircuitDesign Suite12.0该项。

7、返回安装包文件夹,在Mulitisim12.0文件夹中找到keygenfull.exe,鼠标右击选择以管理员身份运行。 8、点击Generate,然后点击Copy。 9、名称随意输入,选择Serial Number后面的空白处鼠标右击,选择粘贴,然后点击Next。

10、点击Browse更改安装路径,建议安装到除C盘以外的磁盘,可在D盘或者其他盘创建一个multisim12.0文件夹。然后点击Next。 11、点击Next。

12、点击Next。 13、选择I accept the above3LicenseAdreemnrt选项,然后点击Next。软件

14、点击Next。 15、软件安装中(大约需要10分钟左右)。

16、点击Next。 17、点击Restart Later。 18、返回安装包文件夹,在Mulitisim12文件夹中找到 NI_Circuit_Design_Suite_12.0.exe,鼠标右击选择以管理员身份运行,然后点击Creat license file...。 19、在弹出的界面中打开multisim12文件夹(参照第十步的路径),在文件名处输入key,点击保存。

Multisim14使用multisim12元件库的方法

M u l t i s i m14使用 m u l t i s i m12元件库的 方法 -CAL-FENGHAI.-(YICAI)-Company One1

Multisim14使用multisim12元件库的方法 如题,步骤如下: 1、下载multisim12,multisim14,multisim12库文件。 2、安装multisim14,安装multisim12,安装方法及安装包自己百度 3、打开multisim12,导入multisim12库文件。工具----数据库----数据库管理 器---导入-----选择下载好的数据库,按照提示操作。 4、导入成功后,打开数据库管理器(打开顺序:工具----数据库---数据库管 理器),点击右下角的关于,查找已导入数据库的存放位置。如导入到用户数据,则复制用户数据库地址,如下图,我的存放地址为:C:\Users\Administrator\AppData\Roaming\National Instruments\Circuit Design Suite\\database

5、打开数据库存放位置,可看到当前数据库, usr文件为数据库文件。 6、关闭multisim12,运行multisim14,执行工具----数据库----转换数据库--- 选择v12→v14-----选择源数据库名称

7、打开到multisim12中usr库文件存放位置,即第四步所示地址,右下角 选择所有文件,这是可看到第三步导入的库文件存放文件,选择该文件,点击打开,点击开始,选择自动重命名或覆盖、忽略,点击确定。 8、等待导入结束后,即可使用。 该方法可用于其他版本数据库导入,如multisim10数据库导入multisim12或14等。 另外,也可以下载别人转换好的数据库文件,但是是否可行,有待验证。

multisim 12.0 下载,安装,汉化,激活,全套

multisim 12.0 软件免费下载,安装,激活, 汉化全套 需要资料: 1.multisim软件, 2.汉化包,. 3.激活包, 软件下载: 1 Ed2k://|file|%5BNI%E7%94%B5%E8%B7%AF%E8%AE%BE%E8%AE%A1%E5%A5%97%E4%BB%B6%5D.NI_Circuit_ Design_Suite_12.0.zip|596408589|d45500b1fbfa8ca124e11bee9100b808|h=vhc4towdkvgan3xnlsga4hkfp5beim h5|/ 激活工具下载: Ed2k://|file|%5BNI%E7%94%B5%E8%B7%AF%E8%AE%BE%E8%AE%A1%E5%A5%97%E4%BB%B6%5D.NI.Circuit.Desig n.Suite.12.0.keygen.rar|1703377|52fb6b18a5895d426eacbcedbe3d274b|h=nmospg642zvubbxr5u3exb24q ecn6mub|/ 汉化工具(也可以自己找): https://www.doczj.com/doc/b816451423.html,/f/24760182.html 一、安装 1. 将压缩文件解压解压 2.点击安装setup安装出现

注意:此处有人说必须点击下面的“Install this product for evaluation”(即试用的意思) 其实不然,我选择上面的,然后进行以下操作! 打开注册机keygenfull.exe,生成(Generate)序列号,点“Copy”,然后用快捷键“ctrl+V”将序列号复制到Serial Number处

最详细最好的multisim仿真教程

最详细最好的multisim仿真教程第13章 Multisim模拟电路仿真 本章Multisim10电路仿真软件,讲解使用Multisim进行模拟电路仿真的基本方法。目录 1. Multisim软件入门 2. 二极管电路 3. 基本放大电路 4. 差分放大电路 5. 负反馈放大电路 6. 集成运放信号运算和处理电路 7. 互补对称(OCL)功率放大电路 8. 信号产生和转换电路 9. 可调式三端集成直流稳压电源电路 13.1 Multisim用户界面及基本操作 13.1.1 Multisim用户界面 在众多的EDA仿真软件中,Multisim软件界面友好、功能强大、易学易用,受到电类设计开发人员的青睐。Multisim用软件方法虚拟电子元器件及仪器仪表,将元器件和仪器集合为一体,是原理图设计、电路测试的虚拟仿真软件。 Multisim来源于加拿大图像交互技术公司(Interactive Image Technologies,简称IIT公司)推出的以Windows为基础的仿真工具,原名EWB。 IIT公司于1988年推出一个用于电子电路仿真和设计的EDA工具软件Electronics Work Bench(电子工作台,简称EWB),以界面形象直观、操作方便、分析功能强大、易学易用而得到迅速推广使用。

1996年IIT推出了EWB5.0版本,在EWB5.x版本之后,从EWB6.0版本开始,IIT对EWB进行了较大变动,名称改为Multisim(多功能仿真软件)。 IIT后被美国国家仪器(NI,National Instruments)公司收购,软件更名为NI Multisim,Multisim经历了多个版本的升级,已经有Multisim2001、 Multisim7、 Multisim8、Multisim9 、Multisim10等版本,9版本之后增加了单片机和LabVIEW虚拟仪器的仿真和应用。 下面以Multisim10为例介绍其基本操作。图13.1-1是Multisim10的用户界面,包括菜单栏、标准工具栏、主工具栏、虚拟仪器工具栏、元器件工具栏、仿真按钮、状态栏、电路图编辑区等组成部分。 图13.1-1 Multisim10用户界面 菜单栏与Windows应用程序相似,如图13.1-2所示。

Multisim基本操作

XXXX学院–物理与电子信息工程学院 实验报告 实验班级: 课程名称: 专业实训 实验名称: Multisim基本操作 指导教师: 实验日期: 2017.12.10 姓名: 学号:

实训目的 学会使用Multisim进行基本电路操作 实训内容 一、基本操作 1、菜单栏 菜单栏中有以下常用选择 File中有以下主要文件操作: New新建文件,Open打开文件,Close关闭文件,Close all关闭所有文件,Save保存文件,Save As另存文件,Print打印文件,Print Setup打印设置和Exit退出等。 Edit中常用的编辑操作有:undo撤退,redo前进,cut剪切,copy复制,paste粘贴,这些操作也可以在工具栏内快速选择。 View中常用的操作有:zoom in放大电路,zoom out缩小电路,zoom area以100%的比率来显示电路等。 Place中常用的放置操作有:component放置元器件,bus总线,text放置文字等。这些选择在工具栏内也有快捷选项。 Simulate中常用的仿真操作有:run运行,pause暂停,这些在工具栏内可直接操作。以及analyses仿真方法选择,Instruments 仪表选择,在仪表栏内可快速找到。 Reports中常用的报告操作有:bill of materials电路图使用器件报告,可以获取详细的所需器件列表,方便购买等。 Options中常用的常用的操作有:sheet properties选项中的主

要操作有circuit电路背景设置,workspace纸张大小设置,wiring 电线宽度设置,font字体设置等。 Window中常用的操作有:new window新窗口。 Help中常用的常用的操作有:multisim help可以查找关于软件的一些问题。 其他的像MCU 、Transfer以及Tools我们很少用到。 2、工具栏 主要的操作是元器件的选择,以及一些快捷操作,例如放大(缩小)页面,电路运行以及停止,文字输入(直接在电路工作区输入文字或者在文本描述框输入文字)等等。

multisim仿真说明手册

Multisim7仿真分析命令介绍 1. 直流工作点分析(DC Operating Point Analysis) 直流工作点分析是对电路进行直流分析,分析完毕后给出电路中所有结点的电压和所有直流电压源中的电流。 进行直流工作点分析时,系统会自动假定电路的交流信号为0,且电路中的电容开路,电感短路。 以单管共射放大电路为例介绍如何用直流工作点分析得到电路中部分结点的电压和流过元器件内部结点的电流。 单管共射放大电路 (1)电路结点标注 点击主菜单Options->Preferences,选中circuit页show区中,点击OK按钮返回电路图窗口。

Preferences窗口的Circuit页 (2)仿真方式选择 点击主菜单Simulate->Analysis-> DC Operating Point Analysis。 DC Operating Point Analysis窗口

(3)输出变量选择 Output Variables页用来选定输出分析的变量。 在DC Operating Point Analysis窗口的Output variables页窗口中,左边Variables in circuit区中给出了针对电路中已标注的所有结点,该分析方法能够分析计算的所有变量。可以通过选中需要分析计算的变量点击Add的方法将想要观测的变量添加到右边Select variables for区中,用于软件后台的分析计算。 选择输出变量 其中,$1表示结点1的电压,vv2#branch表示流经电源V2的电流。(4)内部结点添加 有些情况下,元器件有内部结点的存在(如:三极管),若想分析计算元器件内部结点的电流电压参数,可选择左边Variables in circuit区下边的 ,在more options中选择添加元器件模型和想要分析计算的参数。

实验1:电路仿真工具Multisim的基本应用

实验一电路仿真工具Multisim的基本应用 一.实验目的 1.学会电路仿真工具Multisim的基本操作。 2.掌握电路图编辑法,用Multisim对电路进行仿真。 二、实验仪器 PC机、Multisim软件 三、实验原理 MultiSim 7 软件是加拿大Electronics Workbench 公司推出的用于电子电路仿真的虚拟电子工作台软件。它可以对模拟电路、数字电路或混合电路进行仿真。该软件的特点是采用直观的图形界面,在计算机屏幕上模仿真实实验室的工作台,用屏幕抓取的方式选用元器件,创建电路,连接测量仪器。软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 1. Multisim 7主窗口 2. 常用Multisim7 设计工具栏 元件编辑器按钮--用以增加元件仿真按钮--用以开始、暂停或结束电路仿真。 分析图表按钮--用于显示分析后的图表结果分析按钮--用以选择要进行的分析。 3.元件工具栏(主窗口左边两列) 其中右边一列绿色的为常用元器件(且为理想模型)。左边一列包含了所有元器件(包括理想模型和类实际元器件模型)。在电路分析实验中常用到的器件组包括以下三个组(主界面左边第二列): 电源组信号源基本器件组

(1)电源(点击电源组) 交流电源直流电源接地 (2)基本信号源 交流电流源交流电压源 (3)基本元器件(点击基本器件组) 电感电位器电阻可变电容电容 4.常用虚拟仪器(主窗口右侧一列) ⑴数字万用表 数字万用表的量程可以自动调整。双击虚拟仪器可进行参数设定。下图是其图标和面板: 其电压、电流档的内阻,电阻档的电流和分贝档的标准电压值都可以任意设置。从打开的面板上选Setting按钮可以设置其参数。 (2)信号发生器 信号发生器可以产生正弦、三角波和方波信号,其图标和面板如下图所示。可调节方波和三角波的占空比。双击虚拟仪器可进行参数设定。 (3)示波器 在Multisim 7中提供了两种示波器:通用双踪示波器和4通道示波器。双击虚拟仪器可进行参数设定。这里仅介绍通用双踪示波器。其图标和面板如下图所示。

Multisim 12.0电路模拟仿真破解

multisim12是美国国家仪器有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。12.0是目前该软件的最高版本,现在已经成功破解,并且完全汉化,用户可放心使用,下面附带详细安装图文教程。软件包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力,再结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 凭借multisim12.0汉化破解版,用户可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模 拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计 流程,从而能够比较具有模拟数据的实现建模测量。 multisim12的专业特色: 1、模拟和数字应用的系统级闭环仿真配合Multisim和LabVIEW能在设计过程中有效节省时间; 2、全新的数据库改进包括了新的机电模型,AC/DC电源转换器和用于设计功率应用的开关模式电源; 3、超过2,000个来自于亚诺德半导体,美国国家半导体,NXP和飞利浦等半导体厂商的全新数据库元件; 4、超过90个全新的引脚精确的连接器使得NI硬件的自定制附件设计更加容易。 multisim12.0汉化破解安装方法: 1、下载解压,双击“NI_Circuit_Design_Suite_12.0.exe”安装原版; 2、弹出对话框,选择“确定”; 3、再选择“Browse...”按纽或直接输入“C:\multisim12”,点击“Unzip”将软件解压到此处; 4、完成后,软件将自动打开安装界面,选择“Install Ni Circuit design suite 12.0”开始安装;

multisim10示波器的使用方法

共基极放大器 电子仿真软件MultiSIM 9中的虚拟示波器使用方法 默认分类 2009-04-11 12:59 阅读330 评论0 字号:大中小 在电子仿真软件MultiSIM 9中,除了虚拟双踪示波器和虚拟四踪示波器以外,还有两台高性能的先进示波器,它们分别是:跨国“安捷伦”公司的虚拟示波器“Agilent54622D”和美国“泰克”公司的虚拟数字存贮示波器“TektronixTDS2024”。本刊06年第五期曾对Multisim7中的安捷伦虚拟示波器设置和显示有过简单介绍,读者可以参阅该文相关内容。本文主要介绍安捷伦虚拟示波器的一些特殊其它功能和美国“泰克” 公司的虚拟数字存贮示波器这两台高档次的示波器使用方法。 一、安捷伦虚拟示波器“Agilent54622D”的使用方法举例 Agilent54622D虚拟示波器的带宽为100MHz,具有两个模拟通道和16个逻辑通道。图一是它的放大面板图,它的各个开关、按钮及旋钮的排列和调节都和实物仪器完全一样,我们在自己的电脑里也能享受到使用高档次测量仪器的愉悦,且没有损坏仪器的担忧。

图一 一、显示基本波形操作(这里以模拟通道1为例说明) 首先在电子仿真软件MultiSIM 9电子平台上调出安捷伦虚拟函数信号发生器和安捷伦虚拟示波器各一台。并按图二连好电路;双击安捷伦虚拟函数信号发生器图标“XFG1”打开电源开关,不作任何设置使用它的默认值,即:频率1kHz,幅值100mVpp的正弦波(可参阅上期介绍)。

图二 然后双击安捷伦虚拟示波器图标“XSC1”,打开它的电源开关,见图一中鼠标手指所示。 打开仿真开关,这时可以从安捷伦虚拟示波器屏幕上看到一条水平细红线。在放大面板处于当前窗口的前提下,将鼠标移至“Y轴量程调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以逐渐放大正弦波信号幅度,且屏幕上方“Y轴量程调节指示”数字在减小; 将鼠标移至“X轴时间调节”旋钮上呈手指状,或按住鼠标左键向逆时针方向转;或连续点击键盘上的“↑”键都可以使正弦波信号展宽,且屏幕上方“X轴时间量程指示”数字在减小; 将鼠标移至屏幕左下角“波形亮度调节”(也可认为是在调整聚焦)旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以逐渐加粗正弦波信号波形; 将鼠标移至屏幕左下角“Y轴移位调节”旋钮上呈手指状,或按住鼠标左键向顺时针方向转;或连续点击键盘上的“↓”键都可以将正弦波向下移动,相当于真实示波器的Y轴移位旋钮; 经以上调整结果,从屏幕上可以看到如图三所示波形,从图上我们通过屏幕上方显示的数据可以读出1kHz正弦波的周期是1mS、幅度为100mV,与安捷伦虚拟函数信号发生器设置相符,波形中心离开X 轴为50mV,屏幕上的波形已被适当加粗。

multisim小常识

电子仿真软件MultiSIM使用方法及技巧 电子仿真软件MultiSIM最初由加拿大的IIT 公司推出,从Multisim2001开始 到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim10版本, 已改由美国国家仪器公司(NI公司)所推出。Multisim版本每次升级,软件功能都有相应的提高,但它们的操作方法和电子电路虚拟仿真这一块内容几乎没有太大的变化。也就是说,读者只要掌握和学会了Multisim7软件的使用方法,其它的版本也就触类旁通了。软件更新快,读者也不一定要一味去赶时髦, 电子仿真软件MultiSIM最初由加拿大的IIT 公司推出,从Multisim2001开始 到后来的Multisim7和Multisim8止;Multisim9到目前的Multisim10版本, 已改由美国国家仪器公司(NI公司)所推出。Multisim版本每次升级,软件功能都有相应的提高,但它们的操作方法和电子电路虚拟仿真这一块内容几乎没有太大的变化。也就是说,读者只要掌握和学会了Multisim7软件的使用方法,其它的版本也就触类旁通了。软件更新快,读者也不一定要一味去赶时髦,这要看你用软件做什么内容来决定,如果是初学者和一般电子电路虚拟仿真,学会和掌握Multisim7软件的使用方法已足够。一是上手快,二是获得软件容易。 当然,读者要进一步提高,要学LabVIEW技术,要学单片机仿真,要学UltiBoard 制版,那当然需要安装Multisim9或Multisim10版本了,但目前介绍这方面的专业书籍资料不太多,且新版本软件刚推出时不易得到、存在不够稳定等缺点;再说LabVIEW技术也不像电子电路仿真那样容易学会,它是属于构建虚拟仪器技术范畴;至于单片机仿真,软件目前只适用汇编语言,不能用C语言编程;且模块也仅有8051和8052两种,单片机仿真技术方面还不是太理想,有待于版本进一步升级和提高。 电子仿真软件MultiSIM的元件库中虽然收集了大量的常用电子元件,供读者调用搭建电路进行虚拟仿真,但有些读者有时用到的电子元件,MultiSIM的元件 库中没有怎么办?下面就这个话题谈谈自己的一些处理方法,或许对读者有一些启发。 一、没有“热释电人体红外传感器”怎么办? “热释电人体红外传感器”是一种新产品,电子仿真软件MultiSIM的元件库中没有。我们知道“热释电人体红外传感器”是一种能接收人体发出的微弱红外线,然后将它转换成微弱电信号的一种器件。既然我们知道了它的工作机理,很简单,我们可以用一个开关来代替它。将开关和电源连在一起,开关打开时表示电路没有接收到信号;开关闭合一下随即打开,表示电路已接收到人体走动的红外线信号,并已转换成电信号被接收,电路会动作,或控制的节能灯亮了,或控制的继电器闭合了等。图一是“热释电人体红外感应节能灯”的虚拟仿真电路,读者可以去试一下,开关J1闭合一下随即打开,看红色指示灯是否会亮一段时间,然后自动熄灭。在实际电路中,电路是控制交流灯泡的,这里采取了用红色指示灯来代替的变通方法,一般来说只要虚拟仿真成功了,做成实物也就没有大问题了(注:图中电阻R19是为了仿真时红色指示灯发光稳定添加的,实际电路可以不用;图一是在Multisim10软件下做的仿真,读者完全可以在Multisim7或“汉化特殊版Multisim8.3.30”软件下实现)。

Multisim12.0安装与软件激活方法

NI Circuit Design Suite(Multisim)12.0安装与软件激活方法
编写:樊伟敏 2012-2-13 NI Circuit Design Suite 12.0 又称为Multisim 12.0是美国国家仪器有限公司(NI)在2012 年1月推出的最新版本。 它以Windows为基础的仿真工具, 可实现对电路原理图的图形输入、 电路硬件描述语言输入方式、 电路分析、 电路仿真、 仿真仪器测试、 射频分析、 单片机分析、 PCB 布局布线等。NI 电路设计套件包括:NI Multisim、NI Ultiboard 和NI Multisim MCU Module。最新版Multisim 软件在功能上进行了提升,用于仿真的器件增加到21862个, 仪器与分析记录仪可设置默认背景色等功能。 安装NI公司提供的Chinese中文包以后,界面菜单可显示为简体中文。 1. Multisim不同版本的软件对操作系统的要求如表1所示。 表 1Multisim 不同版本的软件对操作系统的要求表
操作系统的版本 Windows 2000 Windows XP (32-bit) Windows Vista (32-bit) Windows Vista (64-bit) Windows 7 (32-bit) Windows 7 (64-bit) Windows Server 2003 R2 (32-bit) Windows Server 2008 R2 (64-bit) Multisim/Ultiboard 6 to 8 9 10 10.1 11 12
图例: 完全支持 不支持 2. Multisim 12.0版本的软件对计算机硬件的要求。 Pentium 4 微处理器或同等 CPU (最低位 Pentium III) , 512MB 内存 (最低 256MB) , 1.5GB 可用硬盘空间(至少 1GB) ,推荐使用 OpenGL 开放的图形程序接口的 3D 图形卡(视频适 配器 SVGA 分辨率为 800×600 的视频分辨率最低,1024×768 或更高的首选) , 在Multisim中使用基于LabVIEW的自定义仪器,需要安装LabVIEW 2010或LabVIEW 2011版本软件。 3. NI Circuit Design Suite 12.0的安装步骤: (1) 解压缩NI_Circuit_Design_Suite_12_0.exe文件后自动进入如图1所示的程序安装主界 面。也可双击虚拟光盘根目录中的autorun.exe 进入程序安装主界面。 (2) 点击【Install the NI Circuit Design Suite12.0】按钮,进入如图2所示的安装初始化程 序界面;如双击解压缩文件夹根目录中的setup.exe 可直接进入图2所示的安装初始化程序界 面。
图1 程序安装主界面 图2 安装初始化程序界面 (3) 安装初始化程序完成后,弹出如图3所示的用户信息界面,在【Full Name】处用英

Multisim使用简介

ⅤMultisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。

1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。 2. Edit Edit命令提供了类似于图形编辑软件的基本编辑功能,用于对电路图进行编辑。

Multisim 12软件安装说明文档

华侨大学 电子工程系软件使用文档说明 NI Multisim 12 中文汉化版软件安装流程说明 文 档 名 称 NI Multisim12 中文汉化版软件安装流程说明 文 档 类 型 教学实验课程软件安装说明 文 档 撰 写 人 HWW 文 档 版 本 Ver:1.0 更 新 时 间 2013-10-08 更 新 内 容 新建文档,未更新内容 文 档 更 新 人 HWW 支 持 软 件 NI Multisim12 使 用 工 艺 无工艺 华侨大学厦门专用集成电路与系统重点实验室

Ver Date Doc No Author Change Description 1.0 2013-10 HWW 建立文档 Title: NI Multisim 12 中文汉化版安装说明 SECURITY:教学、非公开、交流文档 软件信息: 版本:NI Circuit Design Suite12.0 :支持系统: Windows XP (32位) Windows 7 (32位/64位) Windows Vista (32位/64位) 已确认不支持以下系统 Windows XP (64位) 正文页: 13 页 附录页: 0 页 总页数: 13页

文档说明 工程师可以使用Multisim交互式的搭建电路原理图,并对电路进行仿真。Multisim提炼了Spice仿真的复杂内容,这样工程师无需懂得深入的Spice技术就可以很快的进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图的捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。 实验室所提供电脑已经完成实验所需用软件的安装和破解,本文档主要为需用在自己电脑安装该软件的同学提供一个详细的安装过程介绍。有安装过本软件经验的同学可以略过本文档。 实验室提供的安装软件为网上下载破解的,不保证软件安全,下载完成请自行完成软件杀毒工作。 本软件已经在32位Win XP系统和Win7系统安装过,亲测可以正常使用。由于个人电脑所安装的系统配置不一致,可能会导致按照此说明安装的软件也无法正常使用,如果发生此情况,请自己上网搜索解决方案。 实验室提供软件仅供学习交流使用,请支持正版软件。

multisim使用说明

Multisim 2001 使用简介 Multisim是Interactive Image Technologies (Electronics Workbench)公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。为适应不同的应用场合,Multisim推出了许多版本,用户可以根据自己的需要加以选择。在本书中将以教育版为演示软件,结合教学的实际需要,简要地介绍该软件的概况和使用方法,并给出几个应用实例(样例文件见光盘)。 第一节Multisim概貌 软件以图形界面为主,采用菜单、工具栏和热键相结合的方式,具有一般Windows应用软件的界面风格,用户可以根据自己的习惯和熟悉程度自如使用。 一、Multisim的主窗口界面。 启动Multisim 2001后,将出现如图1所示的界面。 界面由多个区域构成:菜单栏,各种工具栏,电路输入窗口,状态条,列表框等。通过对各部分的操作可以实现电路图的输入、编辑,并根据需要对电路进行相应的观测和分析。用户可以通过菜单或工具栏改变主窗口的视图内容。 二、菜单栏 菜单栏位于界面的上方,通过菜单可以对Multisim的所有功能进行操作。 不难看出菜单中有一些与大多数Windows平台上的应用软件一致的功能选项,如File,Edit,View,Options,Help。此外,还有一些EDA软件专用的选项,如Place,Simulation,Transfer以及Tool等。 1. File File菜单中包含了对文件和项目的基本操作以及打印等命令。

相关主题
文本预览
相关文档 最新文档