当前位置:文档之家› IC课程设计报告

IC课程设计报告

IC课程设计报告
IC课程设计报告

电流型放大器

院系:控制科学与工程系

班级:自动化0902班

姓名:谭位佳

学号:U200914526

同组人:朱时中闫士杰

摘要

主要介绍了MOSFET的概念、结构、工作原理、基本类型、工作特性、交流小信号等效电路、放大电路以及如何利用MOSFET器件来设计电流型放大器,包括共源共栅电路的设计及参数分析,OCA闭环分析和参数计算和Hspice仿真分析与调试。

关键词

电流型放大器MOSFET 共源共栅电路Hspice仿真宽长比

直流分析交流分析开环增益带宽转换速率静态电流瞬态分析Abstract

We mainly introduced the structure of MOSFET, the working principle of MOSFET、the basic type of MOSFET、the workingcharacteristics of MOSFET、the small-signal equivalent circuit of MOSFET and the amplifying circuitof MOSFET. We also introduced the common source of gate circuit design and parameter analysis、the closed loop analysis and parameter calculation and the simulation analysis and debugging of Hspice.

Key word

Current model amplifier、MOSFET、Common source gate circuit、Hspicesimulation、width to length ratio、DC analysis 、AC analysis、Open loop gain、band width、conversion rate、quiescent current、

Trans analysis

目录

电流型放大器 (1)

1 题目要求 (5)

2 设计理论基础 (5)

2.1 MOSFET的概念 (5)

2.2 MOSFET的基本结构 (6)

2.2 MOSFET基本类型 (7)

2.3 MOSFET工作原理 (7)

2.4 MOSFET工作特性 (8)

2.5 MOSFET交流小信号等效电路 (11)

2.6 MOSFET及其放大电路 (13)

3设计过程 (17)

3.1设计基础 (17)

3.2理论设计 (17)

3.3 参数确定 (18)

3.4 由设计参数验证结果 (19)

4 电路仿真及调试 (20)

4.1 Hspcie网表及其仿真代码 (20)

4.2直流分析 (21)

4.3交流分析 (22)

4.4瞬态分析 (23)

5 设计结果讨论 (24)

5.1 结果对比 (24)

5.2误差分析 (24)

5.3特征尺寸对性能的影响 (25)

5.4 关于设计的几点思考 (25)

6 心得与体会 (25)

7 参考文献 (26)

1 题目要求

电流型运算放大器是一种对电流信号进行放大的电路,相对于电压型运算放大器,它具有更快的速度和更大的输入噪声。本题目的要求是设计一款电流型运算放大器,在5V电源电压条件下,其性能尽可能满足如下指标:

1. 开环增益大于60dB欧姆;

2. 带宽大于5MHz;

3. 转换速率大于50V/us;

4. 静态电流小于2mA。

设计要求如下:

1. 画出电路架构图,分析特征尺寸对性能的影响;

2. 计算输出电阻电容值;

3. 通过仿真软件对设计进行验证。

2 设计理论基础

2.1 MOSFET的概念

MOSFET的原意是:MOS(Metal Oxide Semiconductor金属氧化物半导体),FET(Field Effect Transistor场效应晶体管),即以金属层(M)的栅极隔着氧化层(O)利用电场的效应来控制半导体(S)的场效应晶体管。

功率场效应晶体管也分为结型和绝缘栅型,但通常主要指绝缘栅型中的MOS型(Metal Oxide Semiconductor FET),简称功率MOSFET (Power MOSFET)。结型功率场效应晶体管一般称作静电感应晶体管(Static Induction Transistor——SIT)。其特点是用栅极电压来控制漏极电流,驱动电路简单,需要的驱动功率小,开关速度快,工作频率高,热稳定性优于GTR,但其电流容量小,耐压低,一般只适用于功率不超过10kW的电力电子装置。

2.2 MOSFET的基本结构

MOSFET基本结构如上图所示:四端口原件,分别为漏极(D)、栅极(G)、源极(S)、衬底(BASE),一般将S极与B极相连,组成三端口原件。

2.2 MOSFET基本类型

金属氧化物半导体场效应(MOS)晶体管可分为N沟道与P沟道两大类, P沟道硅MOS场效应晶体管在N型硅衬底上有两个P+区,分别叫做源极和漏极,两极之间不通导,栅极上加有足够的正电压(源极接地)时,栅极下的N型硅表面呈现P型反型层,成为连接源极和漏极的沟道。改变栅压可以改变沟道中的电子密度,从而改变沟道的电阻。这种MOS场效应晶体管称为P沟道增强型场效应晶体管。如果N型硅衬底表面不加栅压就已存在P型反型层沟道,加上适当的偏压,可使沟道的电阻增大或减小。这样的MOS场效应晶体管称为P沟道耗尽型场效应晶体管。统称为PMOS晶体管。

MOSFET共有三个脚,一般为G、D、S,通过G、S间加控制信号时可以改变D、S间的导通和截止。PMOS和NMOS在结构上完全相像,所不同的是衬底和源漏的掺杂类型。简单地说,NMOS是在P型硅的衬底上,通过选择掺杂形成N 型的掺杂区,作为NMOS的源漏区;PMOS是在N型硅的衬底上,通过选择掺杂形成P型的掺杂区,作为PMOS的源漏区。两块源漏掺杂区之间的距离称为沟道长度L,而垂直于沟道长度的有效源漏区尺寸称为沟道宽度W。对于这种简单的结构,器件源漏是完全对称的,只有在应用中根据源漏电流的流向才能最后确认具体的源和漏。

本次设计只采用0.5um工艺的增强型NMOPS和增强型的PMOS管。

2.3 MOSFET工作原理

MOSFET的工作原理是基于半导体的表面场效应,实质上相当于由外电压控制的特殊电阻。半导体的表面场效应是指:在垂直于半导体表面的电场作用

下,半导体表面层中的载流子浓度发生变化,导致表面层导电能力的改变。 N 沟道增强型MOSFET :

当栅极加有正向电压时,P 型衬底表面将出现耗尽层,随着栅源电压V GS 的增大,P 型半导体便面将有耗尽层转为反型层,当V GS >V T 时,表面就会形成N 型反型沟道,这时,在漏源电压V GS 的作用下,沟道中将会有漏源电流流过,当V DS 一定,V GS 越高 ,沟道越厚,即导电电子越多,则沟道电流越大。 P 沟道增强型MOSFET :

PMOS 的工作原理与NMOS 相类似。因为PMOS 是N 型硅衬底,其中的多数载流子是电子,少数载流子是空穴,源漏区的掺杂类型是P 型,所以,PMOS 的工作条件是在栅上相对于源极施加负电压,亦即在PMOS 的栅上施加的是负电荷电子,而在衬底感应的是可运动的正电荷空穴和带固定正电荷的耗尽层,不考虑二氧化硅中存在的电荷的影响,衬底中感应的正电荷数量就等于PMOS 栅上的负电荷的数量。当达到强反型时,在相对于源端为负的漏源电压的作用下,源端的正电荷空穴经过导通的P 型沟道到达漏端,形成从源到漏的源漏电流。同样地,VGS 越负(绝对值越大),沟道的导通电阻越小,电流的数值越大。

与NMOS 一样,导通的PMOS 的工作区域也分为非饱和区,临界饱和点

和饱和区。当然,不论NMOS 还是PMOS ,当未形成反型沟道时,都处于截止区,其电压条件是: VGSVTP (PMOS),

值得注意的是,PMOS 的VGS 和VTP 都是负值。

2.4 MOSFET 工作特性

1)主要参数:

① 开启电压V T :

V T 是增强型MOS 管的参数。当υDS 为某以固定值i D 等于一个微小电

流时,栅源间的电压。

② 夹断电压VP :

V P 是耗尽型MOS 管的参数。当υDS 为某以固定值使i D 等于一个微小电流时,栅

源间的电压。(本次设计未用到耗尽型MOS 管)

③ 输出电阻ro :

r o=GS

D

DS V i v ??=

D

i

λ1

【此公式第二个等号之后仅适用于NMOS 】

④低频互导gm:

g m=

DS

GS

D V v i ??=2Kn '(GS

v -T

v )=D I L

W K n )(

2'【'n K =ox n C μ】

⑤阈值电压:

在正常情况下,栅电压产生的电场控制着源漏间沟道区内载流子的产生。使沟道区源端强反型时的栅源电压称为MOS 管的阈值电压。NMOS 的阈值电压用VTn 表示, PMOS 的阈值电压用VTp 表示。 MOSFET 阈值电压表达式: NMOS :

PMOS :

2)Sah 方程:

对于N 型:

【'n K =ox n C μ】

对于P 型:

)1()(212

'

DS D v V V L

W K I TP GS P

λ+

--

=

3)输出特性曲线:

ln(

)

B B

f i

K T N

q

n ?=

SB V ≥ln(

)

B B

f i

K T N

q

n ?=

BS V ≥

(1)

增强型输出特性曲线

①可变电阻区(非饱和区)

此时,V DS

<V GS

-V T

时,()n O X

D S G S T D S W C I V V V L

μ=

-????

随着V DS 增加,从源到

漏的压差变大,沟道厚度逐渐变薄,相当于沟道电阻增大,I DS 随V DS 增大而增大,且刚开始时,从源到漏的压差可忽略,为线性关系;之后源漏电压不可忽略,I DS 随V DS 增大而增大的速度变慢。

线性关系时的沟道电阻为

()

01

1

D S ox

D S

G S T n G S T

V L t R I V V W V V βμεε?=

=

=

?

--

②放大区(饱和区)

此时V DS

≥V GS

-V T

时,V DS

高于V GS

-V T

的电压将降落在耗尽层,使漏端耗尽

区展宽,夹断点向源端有移动沟道长度略微减小,使得I DS 随V DS 增大而增大而略有增加,但总的来说 I DS 基本上不随V DS 变化而变化,故称作饱和区,此时:

()2

2n O X

D S G S

T

W C I V V L

μ=

-

本次设计就要求所有的MOSFET 管工作在饱和区

③截止区

此时0

,当栅源电压低于开启电压,半导体表面将处于弱反型状态,导

电载流子浓度低,漏源电流很小,主要是PN 节的反向电流,故称为截止区。所有工作的管子应避免进入截至区。

4)MOSFET 输入特性曲线:

a) EMOS b )DMOS

2.5MOSFET 交流小信号等效电路

(1)电流方程

()212n ox DS GS T DS DS WC I V V V V L μ??

=--????()

2

2n ox Dsat GS T WC I V V L

μ=-gs ds g gs

gd

dV dV i C C dt

dt

=+d m gs d ds

i g V g V =+

L

(2)本征等效电路

(3)实际等效电路

R S :源极串联电阻 R D :漏极串联电阻 C gs :栅源寄生电容 C gd :栅漏寄生电容

C DS :漏极和衬底之间寄生电容

'

'

gst gs gs gdt gd gd

C C C C C C =+=+

2.6 MOSFET及其放大电路

1)共源极放大器

共源极电路的基本结构

小信号等效电路

直流负载线、临界点和静态工作点

输出电压

因此小信号电压增益为

输入电阻:输出电阻:

2) 共漏极放大电路

MOSFET 共漏极电路

)

//(R r v g v

D ds gs m

o

-=i

gs i

i

g

R v v

R

R

=+

ds

d

D

v

i

R

=-(o V

ds

m

i

v g A

r

v

=

=-R R

R R g

i

i

D +

)

//R

R R i 2

1//

=r

R

R

ds

D

o

//

=

交流小信号等效电路

输出电压

由KVL ,有 因此 又 其中

小信号电压增益为

)

//

(r

R

v g v ds

s

gs

m

o

=)

//(1

r R

v g v

v v

v

ds s

gs

m

gs

o gs

g +

=+=

v

r

R

g

g

r R

g v v

g ds

s

m

m

ds s

m

g gs

1

1

//

1

1

)

//(1+

=

+=

v

R

R R v

i

g i i

g +

=

1

R

R

R

i

2

1

//

=

R

R R r R g r R g v

v v v v

v A g

i

i

ds

s

m

ds

s

m

i

g g o i

o v

+?

+==

=

)//(1)//(11

//1

//s

ds

i

v

i

g

s

ds

m

R

r

R A

R

R

R

r

g

=

?

+

+

3) 共栅极放大器

共栅极电路

小信号等效电路

设场效应管小信号输出电阻r ds 为无穷大。

输出电压为:

由输入回路的KVL 方程得: 其中: 因此:

小信号电压增益为:

(//)

o

gs

D

L

m

g v v R R =-i

i

g

gs

v

i R

v

=

-i

gs

m

g i v

=-1

i gs

g

m

v v

g R

=-

+(//)

1D

L

o m

v

i

g

m

g R

R v A

g v

R

=

=

+

3设计过程

由于本部分是由同组其他成员完成的,我只是参与了讨论,下面把最终结果粗略的写一下。

3.1设计基础

电流型放大器一般采用共源共栅电路,如下图所示:

3.2理论设计

1.为了使得输出阻抗足够大以满足设计要求,在原来的共源共栅电路基础上进行改进如下

3.3 参数确定

第一步,确定电流:

左边六个管子电流是IB=100uA,最右边四个是IB*B=1200uA,剩余两个是IBA=IBB=100uA 第二布,确定宽长比:

可以计算出各管子的宽度为:

第三步,反馈电阻Rf,源电阻Rs大小

到这里,所有的管子的宽度、长度以及所有的电流都确定了,只剩下外部电阻。

由于假设:

B*Rf>>Ri B*Rs>>Ri

Ro>>Rf>>Rs

B=12,所以我们要算出或者测量出Ri、Ro的值

1、Ri大小

由于:

2

)(2

1T GS DS

V V L

W K

I

-=

输入电阻为:

m

mp mn g g in R g 1

1

g 1

1

g 1

)//()(2

mp12mn1≈++

==1K

2、Rout 大小

可以列出方程:

2212**R m n om n V g V =-

113

omn1V-V 1

21R g V -V omn V mn R =+()

13

V R I om n =

由以上公式可以得到开环输出电阻为:

1122311223(g **g **)//(g **g **)14.2o u t m n o m n m n o m n o m n m p o m p m p o m p o m p R R R R R R R M

=≈

3、确定Rf 、Rs

综合以上因素,我们可以设置Rs=1k ,Rf=1.2M

3.4 由设计参数验证结果

增益: 10001028112

111200||

||>=++=

++=

=k

k k k Rs

B

Ri Rs Rf Vi

Vo Av

增益带宽积:

k k

M

k k k k Rf

Ro

Ri Rs Rf Rs B Io

Vo Rout 2.16912001131)

11(12001121

11)

(*1

=+

+

+??=

+++=

=

kHz pF

k CL

Rout BW 0.47202,16921

**21

=??=

=

ππ

由于增益1028,所以增益带宽积为48.3MHZ>5MHZ

静态电流:IQ=2IB+IBA+IBB+Io=200uA+100uA+100uA+1200uA=1.6mA<2.0mA 转换速率:

us V us V pF

uA C Io SR L

/50/60201200>===

4 电路仿真及调试

4.1 Hspcie 网表及其仿真代码

Test

.lib "D:\c25u5v\c25u5v.lib" TT .OPTIONS LIST NODE POST

VDD VDD 0 5v

VB VB 0 2.5v

*偏置电流源,保证管子正常工作 IB1 VDD 3 100uA IB2 4 GND 100uA

Vin in 0 2.5V AC 1V PWL 0us 0V 0.1us 0V 0.10001us 5V 0.3us 5V 0.30001us 0V

*各工作管的参数

Mp1 2 2 VDD VDD P_50_MM L=0.5U W=16U Mn1 5 5 GND GND N_50_MM L=0.5U W=5U

Mp2 5 4 1 1 P_50_MM L=0.5U W=64U Mp3 4 4 VB VB P_50_MM L=0.5U W=64U

Mn2 2 3 1 1 N_50_MM L=0.5U W=20U Mn3 3 3 VBVB N_50_MM L=0.5U W=20U

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

设计行业调研报告

设计是一种计划、规划、设想通过视觉的形式传达出来的活动过程。人类通过劳动改造世界,创造文明,创造物质财富和精神财富,而最基础、最主要的创造活动是造物。设计便是造物活动进行预先的计划,可以把任何造物活动的计划技术和计划过程理解为设计。 设计行业有着丰富的多样性,设计行业包括工业设计、环境设计、建筑设计、室内设计、网站设计、服装设计、平面设计 总体假设 1、此调查对象具有随机性,不存在个体样本差异,符合总体样本抽样的随机条件; 2、调查覆盖面内,调查问卷的影响力不具备差异性; 3、调查的行业为主流行业,覆盖面次全面; 本次调查只是选择性调查了几大主流设计行业。 行业 本次参加调查收回的有效问卷180份。其中收到来自平面设计类公司95份、网站设计类50份、、建筑设计类20份、形象设计类15份。

平面设计行业 52% 网站设计行业 27% 建筑设计行业 11% 形象设计行业 8% 数据说明 1、从事行业集中度高、主要从事平面设计、网站设计。 2、从事平面行业的人数具有绝对的优势性,占全部调查人数的52%;网站建设方面占27%。 学历 通过调查 大专学历占总数的50%本科占30%高中、中专占20%。其中建筑设计专业学历颇高 20406080100120平面类 网站类 建筑类 形象类 本科以上专科高中 职位需求 调查四大设计行业内部,需求各种职位的人数。包含精通平面设计类软件、网页设计类软件、色彩构成设计类、管理类、具有专业资质类。 数据说明 平面设计行业和网站行业:要求从业人员具备良好的平面设计知识。熟练操作 coreldraw 、 photoshop 、 illustrator 等各种设计软件对设计、创意、制作工艺及输出打样印刷等了解,工作效率高,能独立完成设计项目。 精通各种网络知识,对符合web 标准的网站重构有丰富经验 精通结构化语言xhtml 、xml 与解释性语言css ;精通图像处理软件与网页编辑软件(精通Flash 、Illustrator 者优先考虑)

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

IC设计基础(流程、工艺、版图、器件)-笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目) 15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是什么?(仕兰微面试题 目) 16、请描述一下国内的工艺现状。(仕兰微面试题目)

数字IC设计工程师招聘面试笔试100题附答案

数字IC设计工程师招聘面试笔试100题附答案

数字IC设计工程师招聘面试笔试100题附答 案 1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除能够使用带时钟的触发器外,还能够使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质:

时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。 保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做能够防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要经过反馈来锁存状态,从后级门传到前级门需要时间。

视觉传达设计专业行业调研报告

《视觉传达设计专业行业调研报告》 姓名梁锡灵 学号 20131468120 所在院海峡理工 专业班级视传1班 指导老师 日期

视觉传达设计专业行业调研报告 随着人类文明的不断发展,各种视觉传达技术为我们提供了丰富多彩的视觉感受,并且已经从传统的美术、装饰发展到新闻、电子等新兴领域。广告媒介的发展也非常之迅速,据不完全统计,“十一五规划”期间,仅北京市大大小小的广告公司就有3000多家。时代呼唤高质量的广告和高质量的视觉传达设计人才。 一、视觉传达设计行业状况分析 视觉传达设计是指设计者利用平面视觉符号——文字、插图和标志,来传递给接受者各种信息的设计。创意产业在发达国家是一个兴旺的产业,利润极高,我国设计比欧美发达国家要落后30-50年,所以在设计领域有着巨大的发展空间,平面设计行业是最有发展潜力的行业之一。近日来,社会上对视觉传达设计专业方面的人才需求量与日俱增。虽然国内各主要艺术院校和综合性大学艺术系的有关专业都不断地在扩大招生人数,但培养出的设计专业人才还是远远不能满足社会的需要,呈现出供不应求的状态。由于商品市场的刺激和需求,视觉传达设计行业一直是社会热门行业。视觉传达设计行业的应用已无处不在,各类展览展示发布机构、超市、银行、大中型商场橱窗、企事业单位宣传机构等越来越多地依赖于视觉传达行业的介入。设计公司、装饰设计公司、装潢设计公司、广告公司等大量涌现,急需经过系统视觉传达设计专业培养的人才。

二、视觉传达设计专业就业市场分析 设计的世界是一个竞争性强,瞬息万变的世界,要求广告从业人员对社会有准确的领悟,既对时尚潮流了如指掌,又对民族的,精典的,传统的设计元素领会透彻;既要关心商家想要做到什么,又要关心老百姓在想些什么;反应敏捷,思维活跃,有饱满的热情和充沛的精力。这一切没有长期的积累和训练是办不到的。很多很好的大学视觉传达设计专业教育正是从这样一点一滴小处着眼,从基础理论,基本思维,基本技巧,基本训练等方面为使学生成为一个合格的视觉传达设计师而做准备。 现在视觉传达设计行业各媒体,包括电视、报纸、杂志、车体、车内、展位、户外、DM刊登都是很好的发展趋势,也被各商家所看好,虽然竞争激烈,但是如果在这一行业做久了,对自身也是一个相当的提高,而且有利于个人发展,对以后的从事行业也有一定的帮助。视觉传达设计作为一种有偿的、付费的信息传播形式,它利用各种传播媒介被设计内容传播给大众。同时,视觉传达设计也是文化观念的载体之一,在一定的社会文化环境中就会产生适应这种社会文化环境的广告。一方面,视觉传达设计受到特定的社会文化环境的影响,是反应社会文化的一面镜子;另一方面,视觉传达设计本身就是社会文化的一个组成部分,对整个社会文化有着潜移默化的巨大影响。因此,视觉传达设计除了宣传具体商品以外,还宣传了特定的社会价值观和文化价值观。 三、视觉传达设计专业的要求及需要掌握的知识 本专业培养具备视觉传达理论和技能、宽广的文化和科学知识,能在新闻媒介广告部门、广告公司、市场调差及信息咨询行业以及企事业单位从事品牌经营管理和设计、广告策划创意和设计制作、包装设计、会展形象设计、网页设计工

IC设计的一些事情

当你坐在计算机旁工作或在网上冲浪,当你打开电视机欣赏节目,当你在川流不息的人群中拿起无绳电话,当你的VCD或DVD正在播放惊心动魄的hoolywood 电影......你可知道在这些和我们的生活悉悉相关的IC设计者(大规模集成电路)在默默的工作。 个人电脑、因特网、无绳电话、天气预报、模拟战争、空中预警、导弹卫星......几乎所有的新名词都和IC密切相关。IC工业的成就和未来正引起人类社会新的变革。当比尔.盖茨在condex大会上为我们描绘如诗般的internet生活;当intel和amd宣布里程碑式的1G处理器;你是否了解为致力于创造和改变人们生活方式的IC设计工程师是如何把我们的每一个梦想变成现实? 笔者愿以一个普通设计人员的身份帮你撩开IC设计的神秘面纱。 1,项目和课题; (1)Herbert Kroemer说过这样的名言:“任何一种新的并具创造性的技术的应用原理总是,也一直都是,因为这种技术所创造的应用。” 设计IC的唯一目的就是为了满足某种需求,譬如CPU和DRAM是为了计算机而存在;而80C51系列单片机就是因为很多的工控应用而蓬勃发展,而象mpeg1,mpeg2,mp3解码器这些专用电路更是目的明确。因此IC设计项目总是和应用密切相关。不要盯住无用的“新技术”而投入过份的精力。早在voodoo之前Nviria公司就创造了曲面帖图技术,但这种技术太超前了,以致它现在都是不切实际的幻想。然而任何IC开发计划又都必须具有前瞻性,只是这种前瞻性必须是也只能是:当芯片在制造厂流片成功时正是它所对应的技术即将或大量应用时。 (2)在IC设计行业,“时间就是金钱”是永远不变的铁律。 没有那个公司会做过时的IC,再傻的老板都不会在现在把开发mpeg1或10M以太网芯片做为自己的目标,因为技术和应用发展的方向正在淘汰他们,一切不和时宜和不具前瞻性的项目都不具吸引力。我所在的term就将千兆以太网芯片作为自己的努力方向,因为它比现在正流行的传输率快一个阶段。随千兆以太网标准的推出,未来的局域网应用一定会是千兆的天下,这称为技术贮备。NVIDIA公司在推出TNT2时早在研发NV20。符合技术发展潮流和应用规律的项目是保证投资回报和团队生存的基本要求。 (3)“没有人愿意和巨人打架”,syrex和IDT的失败正是这句话的真实印证。任何产品目标都必须是切实可行符合业界规范的。一个小的刚刚涉足IC设计的trem将CPU设计作为自己的目标无疑是可笑和毫无意义的。他必须了解自己的研发能力可以达到什么样的程度,这包括了项目带头人的能力和技术专长,包括了整个团队的开发经验等等。在IC设计中,最讲究的就是要“专”,不要什么都想干,往往什么都干不成。比如一个在网络开发方面有经验的TERM没必要选择开发单片机,最可能的是他会开发网路产品而在需要用单片机或DSP作为microcontroller时去买nation semiconducter或TI的芯核(我们所属的TMI公司就是这样);我们在开发USB芯片的过程中,从来不把host controller作为自己的目标,因为作为一个在国内的刚刚组建的IC design term,我们根本没有技术,经验和能力去和nec、philips、intel或、nation semiconductor比较。即使我们研发的USB1.1标准的芯核也只可以作为usb接口的以太网卡的一部分来使用,而不是作为一款单独的产品; 众所周知曾经有中国的SVCD规范出台,SVCD的最终失败正是因为它不符合国际标准;符合标准是IC设计的前提,计算机产业的迅速发展正是因为它的标准化。对标准的兼容性是一片IC是否可以被市场认可的关键。VIA正是因为intel在很多技术上的专利而不得不收购S3、syrex等公司来换取技术专利交换协议以保持和intel处理器的兼容性。另外,一个研发团队对标准的掌握程度和速度直接决定产品在市场中的成败。我们在开发USB接口的100M以太网卡芯片的过程中,之所以USB部分开发迅速,而network的mac部分遇到

(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质: 时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。 6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

电路设计实验报告

电子技术课程设计 题目: 班级: 姓名: 合作者:

数字电子钟计时系统 一、设计要求 用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟,基本要求如下: 1、采用LED显示累计时间“时”、“分”、“秒”。 2、具有校时功能。 二、设计方案 数字电子钟主要由振荡器、分频器、计数器、译码器、显示器等几部分组成,其整体框图为 其中,秒信号发生器为:

由石英晶体发出32768Hz的振荡信号经过分频器,即CD4060——14级串行二进制计数器/分频器和振荡器,输出2Hz 的振荡信号传入D触发器,经过2分频变为秒信号输出。 校时电路为: 当K1开启时,与非门一端为秒信号另一端为高电位,输出即为秒信号秒计数器正常工作,当K1闭合,秒信号输出总为0,实现秒暂停。 当K2/K3开启时,分信号/时信号输入由秒计数器输出信号及高电平决定,所以输出信号即为分信号/时信号,当K2/K3闭合时,秒信号决定分信号/时信号输出,分信号/时信号输出与秒信号频率一致, 以实现分信号/时信号的加速校时。 秒、分计数器——60进制

首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当计数器的Q1,Q2输出均为1时经过与门电路,输出高电平,作为分 脉冲或时脉冲并同时使两计数器置零。 时计数器——24进制 时脉冲 首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当十位计数器Q1和个位计数器Q2输出均为1时经过与门电路,输出 高电平使两计数器置零。 译码显示电路

关于IC验证经验的总结

关于IC验证经验的总结 完整的、详细的设计规范是验证工作的重要起点。 验证工作根据设计规范(Specification)进行,详细的Spec是RTL代码的编写工作的依据,也是验证工作的依据。当验证过程发现DUT的响应与testbench预计的不符时,需要根据Spec判断是DUT出现错误还是testbench出现错误。 参数化的全局定义 ?Register相关位及其数值的全局宏定义。reg_define.v ?相关路径的全局宏定义。define_board.v ?系统重要变量的显示信息。display.v ?与Register相关的比较任务和报错任务。reg_cmp ?时钟周期参数的定义,一般局部定义,用parameter定义。 存取波形及相应变量的数据,使用`ifdef为全局定义使用 1.波形源头文件是VCD波形,但过于庞大,可用来做功耗分析。 $dumpfile(“wave.vcd”); $dumpvars(0,xxx); $dump0ff; $dumpflush; 2.SHM波形是Cadence的,可以用simvision打开。 $shm_open(“wave.shm”); $shm_probe(xxx,“AST”); $shm_close; 3.FSDB波形是Novas的,可以用nwave打开。 $fsdbDumpfile(“wave.fsdb”); $fsdbDumpvars(0,xxx); 4.VPD波形是Synopsys的,可以用dve打开。 $vcdplusfile(“wave.vpd”); $vcdpluson(0,xxx); 5.变量的存取,可以使用宏来选择变量的存取与否与存取时间使用。

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

中国IC设计公司现状和发展分析

中国IC设计公司现状和发展分析 1. 200万门是最大设计规模 本次调查显示了中国IC设计公司的地域分布特点,84%的IC设计公司主要集中在沿海城市及北京市,其中上海、无锡和杭州三地占40%,北京占26%,深圳为18%,成都/重庆占5%,西安和武汉分别为4%和3%。 目前,中国IC设计公司的主要资金来源是自筹和政府,中小规模的公司占主体,如下图图1所示。 在被调查的公司中,平均每个公司有6个产品系列,44%的受访公司产品系列在5个以下,20个以上占10%。目前,中国IC设计公司的最大设计规模为200万门(图1)。数字IC产品的设计水平主要集中在0.25到0.5微米以及0.5到1.5微米内,分别占34%和29%,小于0.25微米仅占20%;模拟IC中50%采用0.5到1.5微米,1.5微米以上占42%。 2. 主流产品通信类第一、消费类第二 42%受访公司的产品主要应用领域为通信,34%为消费类,分别占第一、二位;工业电子和计算机类分别占10%和8%。受访公司的主要产品集中在ASIC、MCU、视频类IC和数模混合IC,如图1所示,显示了通信领域对ASIC和MCU的巨大需求。另一方面也反映出由于经济实力和规模的制约,ASSP等标准器件的设计仍然处于弱势。 通信类产品是目前国产IC中最主要的一类,本次调查显示42%的公司涉足该类产品,52%的受访者认为此类产品发展前景最好(图2),28%的受访公司在未来的两年中将会推出通信类IC产品,但仅为第二位(图3),暴露出中国IC设计公司对更高技术含量的通信类设计仍信心不足。随着中国在通信基础设施的大量资金投入,通信IC的市场的进一步扩大必将吸引更多国内IC设计公司的关注。请参见图2,图3。 图2 图3 在被调查公司的产品类型中,电视/视频/显示相关产品占12%,位居第三。该数据显示未来视频相关产品为广大IC公司所看好,这与目前宽带到户、数字HDTV、MPEG技术的发展趋势

工业设计行业调研报告

华南理工大学

目录 华南理工大学首届行业(岗位)调研大赛工业设计商业模式创新的调研报告 (1) 【摘要】 (3) 【关键词】 (3) 前言 (3) 调研基本情况 (5) 调研成果分析 (6) 一、问卷分析 (6) (一)针对从业人员的小题分析 (6) (二)小结 (13) 二、大众问卷调查中出现的具有代表性的问题 (14) (一)出现的令人“匪夷所思”的问题 (14) (二)工业设计的发展离不开政府的支持 (14) 三、关于工业设计行业商业发展模式的转变 (14) (访谈调研的分析) (14) 结论与建议 (16) 一、传统模式正在向现代化转变 (16) 二、如何促进商业模式的转化 (18) (一)建设完善人才吸引与激励机制 (18) (二)自主创新,主动维权 (19) (三)完善相关法律法规 (19) (四)创新管理模式 (19) (五)深入紧密联合企业 (20) (六)放眼国际 (20) 【参考文献】 (20) 【附件1】 (21) 【附件2】 (22) 【附件3】 (23) 【附件4】 (28)

【摘要】商业模式是企业竞争制胜的关键,企业要想获得成功就必须从设计成功的商业模式开始。企业需要根据实际情况设计成功的商业模式能起到事半功倍的效果。商业模式并不是一成不变的,而是随着市场需求、产业环境、竞争态势等的变化而不断调整、不断创新。商业模式的生命力在于不断创新,只有不断创新,企业才能顺应时代的步伐,实现可持续的发展。工业设计行业作为一个新兴的产业,最近几年来发展得十分迅速。但其商业发展的模式制约了其效益的增长。另一方面,工业设计在带领传统工业的产业结构的转型升级和提高经济增长质量中的作用日趋明显,既要求工业设计商业模式的创新,也促进了其商业模式的转变。工业设计需要探索和创新商业模式,提高工业设计企业自身竞争力的同时,带动传统产业的发展。 【关键词】工业设计商业模式创新 前言 工业设计(Industrial Design)指以工学、美学、经济学为基础对工业产品进行设计。调查报告中的工业设计的概念是狭义的工业设计的概念,即产品设计。伴随着历史的发展,设计内涵的发展也趋于更加广泛和深入。现在,人类社会的发展已进入了现代工业社会,设计所带来的物质成就及其对人类生存状态和生活方式的影响是过去任何时代所无法比拟的,现代工业设计的概念也由此应运而生。[1]商业模式可以有产品盈利模式、规模盈利模式、服务盈利模式、

集成电路设计实验2

集成电路设计实验报告 院别:电信学院专业:电子科学与技术 班级:电子姓名:学号:组序: 实验(二)题目名称:CMOS反相器的版图设计(PMOS、NMOS) 成绩:教师签名:批改时间: 一、实验目的: 在集成电路设计当中,集成电路设计软件的介入大大的缩短了开发周期,减小了设计风险,使得我们在设计的时候可以发现并改正电路设计上的绝大多数bug。所以说学习设计软件已经成为集成电路设计工程师的必修课。而Ledit软件以其良好的人机操作界面,以及强大的设计规则检查能力而在集成电路的设计当中充当了很重要的角色。在此次试验当中我们需要独立完成CMOS反相器的版图设计,规则检查,以及排除错误工作。从而达到比较熟练的掌握Ledit 的基本功能已经操作方法。 二、实验要求: 如将设计好的电路制成实际使用的集成块,就必须利用版图工具将设计的电路采用标准工艺文件转换成可以制造的版图。然后再将版图提交给集成电路制造厂家(foundry),完成最后的集成块制造,所以画版图的本质就是画电路原理图。 在画版图时,首先要明白工艺文件的含义,每一种工艺文件代表一条工艺线所采用的光刻尺寸,以及前后各个工序等等;其次要懂得所使用的工具步骤及各个菜单及菜单栏的内容,以便熟练使用该软件;最后对所画版图进行验证,确保不发生错误。 此外,还必须了解所使用的版图设计法则,对于不同的工艺尺寸其法则有所不同,这就要求设计者在应用该软件时,必须熟悉相应的设计法则,为完成正确的版图做准备。该实验原理是画常见的CMOS反相器,画版图时要求熟悉CMOS反相器的工艺过程及设计法则。

三、实验方法: 首先在实验一的基础上进一步熟悉L-EDIT版图设计软件的工具及工艺库,比较熟练地掌握该软件画版图的方法。以CMOS反相器为例,在前面画的PMOS、NMOS 的基础上,通过调用将他们组合到一起,再完成整个CMOS反相器的设计,设计完成后运用该软件的设计规则对所画的版图进行DRC验证,并修改不正确的部分,直至设计无错误。 四、实验内容: 1.运行L-Edit程序时,L-Edit会自动将工作文件命名为Layout1.tdb并显示在窗口的标题栏上。 2.另存为新文件:选择执行File/Save As子命令,将自己的工程文件保存在C:\DocumentsandSettings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\exam ple1中,在“文件名”文本框中输入新文件名称:NOMS。保存到example目录的原因是防止后面做剖视图的时候没法进行。 3.替换设置信息:选择执行File/Replace Setup子命令打开对话框,单击“From File”栏填充框的右侧的Browser按钮,选择C:\Documents and Settings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\example1\lights.tdb文件,如图所示,单击OK就将lights.tdb文件中的格点、图层、以及设计规则等设定应用在当前工程中。 4.画出PMOS:按照实验一的步骤,设计PMOS的版图。并进行相应的规则检查,直到没有错误。画好后如图所示:

数字ic设计经验分享

摘要:随着数字电路设计的规模以及复杂程度的提高,对其进行设计所花费的时间和费用也随之而提高。根据近年来的统计,对数字系统进行设计所花的时间占到了整个研发过程的60%以上。所以减少设计所花费的实践成本是当前数字电路设计研发的关键,这就必须在设计的方法上有所突破。 关键词:数字系统;IC;设计 一、数字IC设计方法学 在目前CI设计中,基于时序驱动的数字CI设计方法、基于正复用的数字CI设计方法、基于集成平台进行系统级数字CI设计方法是当今数字CI设计比较流行的3种主要设计方法,其中基于正复用的数字CI设计方法是有效提高CI设计的关键技术。它能解决当今芯片设计业所面临的一系列挑战:缩短设计周期,提供性能更好、速度更快、成本更加低廉的数字IC芯片。 基于时序驱动的设计方法,无论是HDL描述还是原理图设计,特征都在于以时序优化为目标的着眼于门级电路结构设计,用全新的电路来实现系统功能;这种方法主要适用于完成小规模ASIC的设计。对于规模较大的系统级电路,即使团队合作,要想始终从门级结构去实现优化设计,也很难保证设计周期短、上市时间快的要求。 基于PI复用的数字CI设计方法,可以满足芯片规模要求越来越大,设计周期要求越来越短的要求,其特征是CI设计中的正功能模块的复用和组合。采用这种方法设计数字CI,数字CI包含了各种正模块的复用,数字CI的开发可分为模块开发和系统集成配合完成。对正复用技术关注的焦点是,如何进行系统功能的结构划分,如何定义片上总线进行模块互连,应该选择那些功能模块,在定义各个功能模块时如何考虑尽可能多地利用现有正资源而不是重新开发,在功能模块设计时考虑怎样定义才能有利于以后的正复用,如何进行系统验证等。 基于PI复用的数字CI的设计方法,其主要特征是模块的功能组装,其技术关键在于如下三个方面:一是开发可复用的正软核、硬核;二是怎样做好IP复用,进行功能组装,以满足目标CI的需要;三是怎样验证完成功能组装的数字CI是否满足规格定义的功能和时序。 二、典型的数字IC开发流程 典型的数字CI开发流程主要步骤包含如下24方面的内容: (1)确定IC规格并做好总体方案设计。 (2)RTL代码编写及准备etshtnehc代码。 (3)对于包含存储单元的设计,在RTL代码编写中插入BIST(内建自我测试)电路。 (4)功能仿真以验证设计的功能正确。 (5)完成设计综合,生成门级网表。 (6)完成DFT(可测试设计)设计。 (7)在综合工具下完成模块级的静态时序分析及处理。 (8)形式验证。对比综合网表实现的功能与TRL级描述是否一致。 (9)对整个设计进行Pre一layout静态时序分析。 (10)把综合时的时间约束传递给版图工具。 (11)采样时序驱动的策略进行初始化nooprlna。内容包括单元分布,生成时钟树 (12)把时钟树送给综合工具并插入到初始综合网表。 (13)形式验证。对比插入时钟树综合网表实现的功能与初始综合网表是否一致。 (14)在步骤(11)准布线后提取估计的延迟信息。 (15)把步骤(14)提取出来的延迟信息反标给综合工具和静态时序分析工具。 (16)静态时序分析。利用准布线后提取出来的估计延时信息。

相关主题
文本预览
相关文档 最新文档