当前位置:文档之家› 定时控制器逻辑电路设计说明

定时控制器逻辑电路设计说明

定时控制器逻辑电路设计说明
定时控制器逻辑电路设计说明

一概述

为了能使仪器在特定的时间工作,通常需要人在场干预才能完成。本课题设计的定时器,就是能使你不在时,仪器也能按时打开和关闭。例如你想用录音机、录像机录下某一时间断的节目,而这一段时间你又有其他事要做,不在家或机器旁边,你就可以实现预置一下定时器。在几点几分准时打开机器,到某时某刻关掉机器。数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。

定时控制器由供电单元、数字钟单元、定时单元以及控制输出单元等几部分组成.如图1所示为定时控制器系统框图。

图1

二.设计任务和要求

设计一个带数组电子钟的定时控制器逻辑电路,具体任务要求如下:

1.可设定定时启动(开始)时间与定时结束(判定)时间

2.定时开始,指示灯亮;定时结束,指示灯灭

3.定时围可以选择

4.具有电子钟功能,显示为四位数

三.电路设计

数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中应用最广的基本电路。石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波秒信号。秒信号送入计数器进行计时,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果结果由六位数码管显示。

3.1石英晶体振荡器

振荡器是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间冲。

振荡器振荡频率的精度与稳定度基本上决定了钟的准确度。

振荡器是由石英晶体,微调电容与集成反相器等元件构成。石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

图中1门、2门是反相器,1门用于振荡,2门用于缓冲整形,R1为反馈电阻,反馈电阻的作用是为反相器提供偏置,使其工作在放大状态。反馈电阻R1的值选取太大,会使放大器偏置不稳甚至

不能正常工作;R1值太小又会使反馈网络负担加重。图中C1是频率微调电容,一般取5-35pF。C2是温度特性校正电容,一般取20-40pF。电容C1、C2与晶体共同构成∏形网络,以控制振荡频率,并使输入输出相移180度。

从有关手册中,可查得C1、C2均为30pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。

石英晶体振荡器XTAL的振荡频率选为32768Hz。该元件专为数字钟电路而设计,其频率较低且稳定,有利于减少分频器级数,可用反相器整形而得到矩形脉冲输出。

由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为10MΩ。较高的反馈电阻有利于提高振荡频率的稳定性。

非门电路可选74HC00。

3.2电源电路

本系统电源,如不用实验室电源,可以采用三端稳压块获得+5v稳压输出,如图2所示

图2

3.3分频器

在数字电路中,分频器是一种可以进行频率变换的电路,其输入、输出信号是频率不同的脉冲

序列。输入、输出信号频率的比值称为分频比。例如,2分频器的输出信号频率是输入信号频率的21,8分频器的输出信号频率是输入信号频率的8

1。通常实现分频器的电路是计数器电路,一般采用多级2进制计数器来实现。例如,将32768Hz 的振荡信号分频为1Hz 的分频倍数为32768(152),即

实现该分频功能的计数器相当于15级2进制计数器。常用的2进制计数器有74HC393等。

本次课程设计中采用CD4060来构成分频电路。CD4060在数字集成电路中可实现的分频次数最高,而且CD4060还包含振荡电路所需的非门,使用更为方便。CD4060计数器为14级2进制计数器,可以将32768Hz 的信号分频为2Hz ,其部框图如图所示,从图中可以看出,CD4060的时钟输入端两个串接的非门,因此可以直接实现振荡和分频的功能。

3.4 计数器

3.4.1同步十进制加法计数器74160功能介绍

同步十进制加法计数器74160电路如图1所示,此电路增加了预置数、保持和异步置零的功能。图1中LOAD ′为预置数控制端,RCO 为进位输出端,CLR 为异步置零端,ENP 和ENT 为工作状态控制端。CLK 为脉冲控制端,Q A 、Q B 、Q C 、Q D 为输出控制端。 3.4.2 六十进制计数

秒计数器的电路形式很过,一般都是由一级十进制计数器和一级六进制计数器组成,是用两块中规模集成电路74LS160按反馈置零法串接而成。秒计数器的十位和个位,输出脉冲除用作自身清零外,同时还作为“分”计数器的输入信号。

当第一个脉冲来到时两个数显同步置零,显示00状态,即两个同步十进制加法计数器的输出分别为0000和0000,当第二个脉冲来到时个为脉冲作用下第一个同步十进制加法计数器的输出变为0001,而第二个同步十进制加法计数器的工作状态控制端接的为低电平,所以不工作,继续保持为0000状态不变,当第一个同步十进制加法计数器输入第九个脉冲后,RCO 进位输出端会置1,同时第二个同步十进制加法计数器的工作状态控制端接的为高电平,所以开始工作,输出由0000变为0001,然

后保持至第一个同步十进制加法计数器的输出再次变为1001。当第一个同步十进制加法计数器的输出为0101,同时第二个同步十进制加法计数器的输出为1001时,两个同步十进制加法计数器的预置数控制端被同时置为0,即两个同步十进制加法计数器的输出均变为0000,从而完成六十进制计数, 电路如图3

图3

3.4.3 二十四进制计数

二十四进制小时计数器,是用两片74LS160构成的。也可用两块中规模集成电路74LS160和与非门构成。

当第一个脉冲来到时两个数显同步置零,显示00状态,即两个同步十进制加法计数器的输出分别为0000和0000,当第二个脉冲来到时个为脉冲作用下第一个同步十进制加法计数器的输出变为0001,而第二个同步十进制加法计数器的工作状态控制端接的为低电平,所以不工作,继续保持为0000状态不变,当第一个同步十进制加法计数器输入第九个脉冲后,RCO进位输出端会置为1,同时第二个同步十进制加法计数器的工作状态控制端接的为高电平,所以开始工作,输出由0000变为0001,然后保持至第一个同步十进制加法计数器的输出再次变为1001。当第一个同步十进制加法计数器的输出为0010,同时第二个同步十进制加法计数器的输出为0011时,两个同步十进制加法计数器的预置数控制端被同时置为0,即两个同步十进制加法计数器的输出均变为0000,从而完成二十四进制计数,电路如图4。

图4

3.5数字钟单元

他分别由秒脉冲发生器,秒、分、时计数器、译码器,显示器等组成。这里只要设计成四位显示。“分”从00至59,“时”从00至23秒可以用发光二极管显示。

3.6继电器电路

继电器的通、断受控制器输出控制,当“开始定时”设定值到达时,继电器应该接通。而当“定时结束”设定值到达时.继电器应该断开。其定时波形如图6所示。继电器的出点可接交流、直流或其他信号。

图6

3.7定时器部分

数字电子钟设计说明

华南农业大学 电子线路综合设计 数字电子钟 班级:14电气类8班组别:4 指导教师: 2016年月

电子数字钟是一种用数字电路技术实现时、分、秒计时的装置,比机械式时钟具有更高的精确性。本次课程设计的电子数字钟,具有以下功能:用24进制,从00开始到23后再回到00,各用2位数码管显示时、分、秒(如23:52:45);可实现手动或自动的对时、分进行校正;计时过程具有报时功能,当时间到达整点前10秒进行报时,蜂鸣器响1秒停1秒地响5次。整个电路设计主要包括秒信号产生电路、时分秒计数电路、译码显示电路、时分的校正电路以及整点报时电路。 秒信号产生电路由石英晶体振荡器和分频器实现,将此信号接到秒计数器的信号输入端,在秒信号的驱动下,秒计数器向分计数器进位,分计数器向时计数器进位,最后通过译码器将计数器中的状态以时间的形式显示在数码管。整点报时电路由计时电路的输出状态产生脉冲信号送至蜂鸣器实现报时。校时电路加上一个脉冲送到时分计时器电路从而实现时和分的校整。 为了更好的完成本次课程设计,我们对题目进行了分析讨论,参考了很多相关的资料,同时考虑到实验室能提供的设备仪器及元件,确定了初步的设计方案;经过多次软件仿真,确定并完善了最终的设计方案。根据设计方案进行焊接、电子仪表检查、调试并测量电路的工作状态,排除电路故障,调整元件参数,改进电路性能,使之达到设计的指标和要求,做出成品。 关键词:晶体振荡器CD4060 CD4511 74LS90

1系统概述 (1) 1.1 设计任务和目的 (1) 1.2系统设计思路与总体方案 (1) 1.3设计方案选择 (1) 1.4总体工作过程 (2) 1.5各功能模块的划分和组成 (2) 2电路系统设计与分析 (4) 2.1秒信号的发生电路 (4) 2.2时、分、秒计数电路 (5) 2.3译码显示电路 (6) 2.4时、分校正电路 (7) 2.5整点报时电路 (8) 3电路的安装与调试 (9) 3.1安装调试的步骤 (9) 3.2电路软件仿真调式 (9) 3.3电路焊接及实物调式 (10) 3.4实验过程可能存在的问题 (10) 4实验数据和误差分析 (11) 5实验结论及分析 (11) 6实验收获、体会和建议 (12) 参考文献 (13) 附录1元器件清单明细表 (14) 附录2总原理接线图 (15) 附录3 电路焊接实物图 (16) 致 (17)

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

【参考借鉴】数字逻辑电路习题集.doc

第一章数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、16 6、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数R= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数R= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电) 15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√)

可预置定时电路的设计

可预置定时电路课程设计报告 一.设计要求 1、设计一个可灵活预置时间的计时电路,要求具有时间显示功能,能准确预置清零。 2、设置外部操作开关,控制计时器的直接清零、启动和暂时|连续计时。 3、要求计时电路递减计时,每隔一秒,计时器减1。 4、当计时器递减时间到零(即定时时间到)时,显示器上显示00,同时发光 电报警信号。 二.设计的作用、目的 熟悉集成同步十进制加/减计数器的工作原理。掌握555定时器的工作原理、集成电路的使用方法、集成电路的引脚安排、各集成芯片的逻辑功能及使用方法。在日常生活和工作中,我们常常使用都定时控制,如交通灯定时等等等。随着电子技术的发展,控制电路的需求越来越大。可以使用使用基本可预置定时电路构成其他我们生活中应用广泛的电子设备。 三.设计的具体实现 1.系统概述 定时器由启动电路、秒脉冲发生器、预置输入电路、计数器、译码显示电路、报警电路和控制电路共7部分组成。 基本框图如下图所示: 图1

其中译码电路和控制电路是系统的主要部分。计数器完成计时功能,而控制器完成计数器的直接清零、启动计数、暂时功能。通过设置开关或按键电路可以对定时时间进行预置,这部分需要编码器。通过编码后,送到计数器预置端作为计数的时间。根据题目要求这部分应采用减计数。在计数同时,还需要对所计时间进行显示,所以需要译码显示电路,显示器用LED。 对于本模块的器件选用,计数器选用74LS192进行设计。74LS192是十进制可编程同步加1减计数器,它采用8421码二—十进制编码,并具有直接清零、置数、加1减计数功能。 报警电路在实验中可以用发光二极管来代替。 2.电路分析与设计 A:器件选择 (1) 十进制可逆计数器74LS192 74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如图2所示: 图2 74LS192的引脚排列及逻辑符号 图中:为置数端,为加计数端,为减计数端,为非同步进位输出端,为非同步借位输出端,P0、P1、P2、P3为计数器输入端,为清除端,Q0、Q1、Q2、Q3为数据输出端。其功能表如下 输入输出 MR P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 ×××××××0 0 0 0 0 0 × d c b a d c b a × ××××加计数 0 1 1

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

闪光灯逻辑控制电路的设计与制作(精)

课题四闪光灯逻辑控制电路的设计与制作 闪光灯逻辑控制电路是电子游戏、广告制作、舞台演出中最常用的逻辑控制电路,和前三个课题不同的是电路采用纯数字电路器件来实现。数字电路能用的器件有通用的中,小规模集成电路和专用的数字集成电路两种,器件的选择余地更大,设计方案较多。数字电路的设计思路与模拟电路有着根本的差别,而且只要电路逻辑设计正确,调试的工作量较小。希望通过本课题的设计与制作,使初学者能熟悉数字电路的一般设计方法。 1.设计内容和要求 设计并制作一个闪光灯控制逻辑电路,设计要求: ①红(R、黄(A、绿(G三种颜色的闪光灯在时钟信号作用下按表3—5规定的逻辑顺序转换。表中“1”表示灯亮,“0”表示灯灭。要求电路能自启动。 ②状态转换时间间隔为0.5s,设计并制作一个CP脉冲源。 表3-5闪光灯转换顺序表 2. 设计方案的选择

(1逻辑分析 三个闪光灯R、A、G作为三个输出变量,灯亮为“1”,灯灭为“0”,在时钟CP的作用下,共8个状态,其状态转换图如图3-4-1所示。 图3-4-1状态转换图 由状态转换图可知,本电路可以自启动。 设计思路:能否用一个八进制计数器,再设计一个状态转换电路,将计数器的8个输出状态依次转化为灯光控制电路的规定状态,状态转换的真值表如表3-6所示。 表3-6状态转换真值表

由真值表可得输出变量的函数表达式为 R=01 2012012Q Q Q Q Q Q Q Q Q ++ A=012012012Q Q Q Q Q Q Q Q Q ++ G=012012012Q Q Q Q Q Q Q Q Q ++ (2 设计方案的比较与选择 由上分析,,本课题总的设计方案是先设计一个八进制同步加法计数器为闪光灯逻辑控制电路提供输入变量,再设计一个状态转换电路保证闪光灯按规定顺序工作。八进制计数器根据器件来源,可以选用三片小规模集成触发器如D 触发器,JK 触发器等,也可采用中规模集成计数器如74LS160,74LS161等构成,转换电路的设计方案更多,可采用门电路,也可采用数据选择器,3线8线译码器,甚至只读存储器ROM 来实现。不同的器件对逻辑函数 的处理方式不同。本课题首先确定八进制计数器由十进制同步加法计数器 74LS160来实现,只是转换电路选用不同的器件,介绍三种设计方案供选择比较。 3.单元电路的设计 (1八进制计数器的设计

数字电子技术课程设计,数字钟的设计

武汉理工大学《数字电子技术》课程设计说明书 目录 1绪论-----------------------------------------------------------------------------------------1 2设计方案概述-------------------------------------------------------------------------2 2.1系统设计思路与总体方案---------------------------------------------------------------2 2.2总体工作过程------------------------------------------------------------------------------2 2.3各功能块的划分和组成------------------------------------------------------------------3 3单元电路设计与分析--------------------------------------------------------------3 3.1秒信号的发生电路------------------------------------------------------------------------3 3.2时、分、秒计数电路---------------------------------------------------------------------4 3.2.1秒部分-----------------------------------------------------------------------------------5 3.2.2分部分-----------------------------------------------------------------------------------5 3.2.3时部分-----------------------------------------------------------------------------------6 3.3校正时、分电路---------------------------------------------------------------------------7 3.3.1校分电路--------------------------------------------------------------------------------7 3.3.2校时电路--------------------------------------------------------------------------------8 3.4整点报时电路------------------------------------------------------------------------------8 3.5闹钟功能电路------------------------------------------------------------------------------9 5电路的调试与仿真-----------------------------------------------------------------9 4总体电路原理图---------------------------------------------------------------------11 6元器件清单-----------------------------------------------------------------------------12 7设计体会及心得---------------------------------------------------------------------12 参考文献------------------------------------------------------------------------------------14

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

篮球30秒定时控制电路设计

一:设计题目:篮球30秒定时控制电路设计 (优) 二:设计任务和基本要求: 1. 有30s计时功能; 2. 设置外部操作开关,具有对计时器清零,启动和暂停/连续等功能。 3. 计时器为30s递减计时器,其计时时间间隔为1s. 4. 计时器递减计时到零时,数码显示器不能灭灯,同时发出报警信号。 三:设计步骤: 1. 设计过程: (1)根据设计要求,得到篮球30秒计时器总体方案框 图 秒脉冲发生器 CLK 计数器 显示译码电路 报警电路 K8 K7 控制电路 设计思路:篮球30秒计时器包括秒脉冲发生器,计数器,译码显示器,辅助时序控制电路和报警电路等。其中计数器和控制电路事系统的主要部分。计数器完成30秒计时功能,控制电路完成计数器的启动、暂停/连续计数。为保证系统的设计要求,在设计控制电路时,应正确处理各个信号间的时序关系。当启动开关K7闭合(低电平)时,计数器完成置数功能,译码显示电路显示30秒字样;当启动开关K7断开(高电平)、K8断开(高电平)时,计数器开始计数,当K8为闭合(低电平)时,计数器停止计数,K8再断开(高电平)时,继续累计技术。计数器递减到零时,发出声光报警信号L=1(正常计数时L=0)。 (2)篮球30秒计时器的顶层电路逻辑图: 本实验包括两个模块:

a:IC17模块完成计数器计时功能;b:TIM模块完成始终脉冲发生信号功能。 (3).根据功能模块的划分,利用ABEL_HDL语言完成设计。 篮球30秒计时器顶层电路中的IC17模块的程序设计程序为: MODULE IC17 TITLE '30S' CLK,S,LD PIN; Q7,Q6,Q5,Q4,Q3,Q2,Q1,Q0 PIN ISTYPE'REG'; L PIN ISTYPE'COM'; Counth=[Q7,Q6,Q5,Q4]; Countl=[Q3,Q2,Q1,Q0]; EQUATIONS Counth.CLK=CLK; Countl.CLK=CLK; L=(Counth==0)&(Countl==0); ” 30秒倒计时 WHEN((LD==1)&(S==1)&(Countl==0)& (Counth==0))THEN{Countl:=0;Counth:=0;} ELSE WHEN((LD==1)&(S==1)&(Countl>0)) THEN{Countl:=(Countl.FB-1);Counth:=Counth.FB;}

12小时数字钟电路设计

沈阳航空航天大学 课程设计报告 课程设计名称:计算机组成原理课程设计 课程设计题目:12小时数字钟电路设计与实现 院(系):计算机学院 专业:计算机科学与技术 班级:34010104 学号:2013040101164 姓名: 指导教师:胡光元 完成日期:2016 年 1月 13 日

沈阳航空航天大学课程设计报告 目录 第1章总体设计方案 (2) 1.1设计原理 (2) 1.2设计思路 (2) 1.3设计环境 (2) 第2章详细设计方案 (2) 2.1算法与程序的设计与实现 (3) 2.2流程图的设计与实现 (4) 第3章程序调试与结果测试 (7) 3.1程序调试 (7) 列举出调试过程中存在的问题 (7) 3.2程序测试及结果分析 (7) 参考文献 (9) 附录(源代码) (10)

第1章总体设计方案 1.1设计原理 通过Verilog语言,编写12小时数字钟电路设计与实现的Verilog程序,一般的做法是底层文件用verilog写代码表示,顶层用写的代码生成的原理图文件链接组成,最后在加上输入输出端口。采用自上而下的方法,顶层设计采用原理图设计输入的方式。 1.2设计思路 1.实时数字钟显示功能,即时、分、秒的正常显示模式,并且在此基础上增加上,下午显示。 2.手动校准。按动方式键,将电路置于校时状态,则计时电路可用手动方式校准,每按一下校时键,时计数器加1;按动方式键,将电路置于校分状态,以同样方式手动校分。 1.3设计环境 (1)硬件环境 ?伟福COP2000型计算机组成原理实验仪 COP2000计算机组成原理实验系统由……… ?COP2000集成调试软件 COP2000集成开发环境是为…………. (2)EDA环境 ?Xilinx foundation f3.1设计软件 Xilinx foundation f3.1是Xilinx公司的可编程期间………….

组合逻辑电路例题终版.doc

【例题1】设计一个投票表决器,三个投票人分别为A 、B 、C ,按规定只要二人以上同意才能通过。 解:设投同意票为“1”表示,不同意票为“0”;输出为“1”表示通过,为“0”表示不通过。 第一步:由逻辑关系列出真值表 第二步:由真值表写出逻辑函数表达式 第三步:化简逻辑函数表达式 ◆用卡诺图化简 ◆用代数法化简如下 第四步 由化简后的逻辑表达式画出逻辑电路图 7 653111*********m m m m ABC C AB C B A BC A F +++=真值表 ∑= ) 7,6,5,3(m F AB BC AC AB BC AC AB BC AC F ??=++=++=AB BC AC AB BC AC AB BC AC A C C B AC C AB B A C B A B A A C AB A B B C C AB C B A BC C AB C B A A A BC ABC C AB C B A BC A F ??=++=++=++=++=+=+++=++=+++=+++=)()()()()(

F高电平时,三极管导通,灯亮;低电平时三极管截止,灯灭。 【例题2】某汽车驾驶员培训班进行结业考试。有三名评判员,其中A为主评判员,B、C 为副评判员。评判时按少数服从多数原则,但若主评判认为合格,也可通过。试用与非门构成逻辑电路实现评判的规定。 解:(1)根据逻辑设计要求,设定三个输入变量A、B、C,并规定如下:主评判A意见:A=1认为合格;A=0认为不合格 副评判B意见:B=1认为合格;B=0认为不合格 副评判C意见:C=1认为合格;C=0认为不合格 设输出变量Y:Y=1认为通过;Y=0认为不通过 (2)列真值表 (3)根据真值表写出逻辑表达式 (4)用卡诺图化简 (5)画出逻辑电路图 【例题3】有一火灾报警系统,设有烟感、温感、紫外光感三种不同类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计产生报警控制信号的电路。 [解](1)根据逻辑要求设置逻辑输入、输出变量。 用A、B、C分别代表烟感、温感、紫外光感三种探测器的探测输出信号,作为报警控制电路的输入变量,以“1”表示高电平,“0”表示低电平,高电平表示有火灾报警,低电平表示无火灾报警; F为报警控制电路的输出,以“1”表示高电平,“0”表示低电平,同样高电平表示有火灾报警,低电平表示无火灾报警。 真值表 ∑ = + + + + = + + + + = )7,6,5,4,3( 7 6 5 4 3 m m m m m m ABC C AB C B A C B A BC A Y A BC A BC A BC Y ? = + = + =

数字电子钟设计说明..

数字电子钟课程设计 一、设计任务与要求 (1)设计一个能显示时、分、秒的数字电子钟,显示时间从00: 00: 00到23: 59: 59; (2)设计的电路包括产生时钟信号,时、分、秒的计时电路和显示电路(3)电 路能实现校正 (5)整点报时 二、单元电路设计与参数计算 1. 振荡器 石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整。它还具有压电效应,在晶体某一方向加一电场,则在与此垂直的方向产生机械振动,有 了机械振动,就会在相应的垂直面上产生电场,从而机械振动和电场互为因果,这种循环过程一直持续到晶体的机械强度限止时,才达到最后稳定。这用压电谐振的频率即为晶体振荡器的固有频率。 2. 分频器 由于振荡器产生的频率很高,要得到秒脉冲需要分频,本实验采用一片74LS90 和两片74LS160实现,得到需要的秒脉冲信号。

3. 计数器 秒脉冲信号经过计数器,分别得到“秒”个位、十位、“分”个位、十位以及 “时”个位、十位的计时。“秒” “分”计数器为六十进制,小时为二十四进制。 (1)六十进制计数 由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器应完 成一分钟之内秒数目的累加,并达到 60秒时产生一个进位信号。本作品选用一 片74LS161和一片74LS160采取同步置数的方式组成六十进制的计数器。 (2)二十四进制计数 “24翻1”小时计数器按照“ 00— 01—02,, 22—23— 00—01”规律计数。与生 活中计数规律相同。二十四进制计数同样选用74LS161和74LS160计数芯片。但 清零方式采用的是异步清零方式。 MMgM 加 EHagij Z 1 进位信号 脉冲

定时控制器逻辑电路设计62777

一概述 为了能使仪器在特定的时间内工作,通常需要人在场干预才能完成。本课题设计的定时器,就是能使你不在时,仪器也能按时打开和关闭。例如你想用录音机、录像机录下某一时间断的节目,而这一段时间你又有其他事要做,不在家或机器旁边,你就可以实现预置一下定时器。在几点几分准时打开机器,到某时某刻关掉机器。数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,以其显示的直观性、走时准确稳定而受到人们的欢迎,广泛用于个人家庭、车站、码头、办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、通断动力设备、以及各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。 定时控制器由供电单元、数字钟单元、定时单元以及控制输出单元等几部分组成.如图1所示为定时控制器系统框图。 图1

二.设计任务和要求 设计一个带数组电子钟的定时控制器逻辑电路,具体任务要求如下: 1.可设定定时启动(开始)时间与定时结束(判定)时间 2.定时开始,指示灯亮;定时结束,指示灯灭 3.定时范围可以选择 4.具有电子钟功能,显示为四位数 三.电路设计 数字钟一般由振荡器、分频器、计数器、译码器、显示器等几部分组成。这些都是数字电路中应用最广的基本电路。石英晶体振荡器产生的时标信号送到分频器,分频电路将时标信号分成每秒一次的方波秒信号。秒信号送入计数器进行计时,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的显示电路与“秒”相同,“时”的显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果结果由六位数码管显示。 3.1石英晶体振荡器 振荡器是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间冲。 振荡器振荡频率的精度与稳定度基本上决定了钟的准确度。 振荡器是由石英晶体,微调电容与集成反相器等元件构成。石英晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。

数字钟电路设计与制作实验报告

数字钟电路设计与制作实验报告 一、实验目的: 1、综合应用数字电路知识; 2、学习使用protel进行电子电路的原理图设计、印制电路板设计 3、学习电路板制作、安装、调试技能。 二、实验任务及要求: 任务:设计一个12小时或24小时制的数字钟,显示时、分、秒,有校时功能,可以分别对时及分进行单独校时,使其校正到准确时间。可以根据兴趣增加其它与数字钟有关的功能。 要求:画出电路原理图,元器件及参数选择,PCB文件生成、制板及实物制作 三、实验原理及电路设计: 1、设计方案与模块框图 利用74LS161和74LS00 ,555,数码管,开关来设计24小时数字时钟,构造它们主要实现时钟的显示,以及对时、分、秒进行调整,即实现调时的功能。其数字钟系统整体结构 ①74LS161和74LS00计数器:用来设计24小时

②开关与74LS00结合:用来校时,校分,校秒。 ③利用555振荡器:产生脉冲信号 ④数码管:用来显示时分秒。

2、各子模块电路设计及原理说明 74LS161 :十六进制的计数器,当秒到60时要进位当分上利用74LS161与74LS00的结合,当秒、分到60时对其进行清零,进位。当时24时,对其进行清零。当时分秒个位到9时,对其本位(时分秒)清零和进位。 74LS00 与开关:74LS00与开关的结合,以此来控制校对。 555振荡器:利用555设计一个振荡器产生一个脉冲信号,以此来控制信号的进行与停止、时间的校对。 数码管:显示时分秒。 3、仿真图及仿真方法说明 连好图,按一下仿真键,

①若能仿真且准确无误,会出现24小时的显示则成功了。 ②若不能仿真,数码管不会显示出来示数,或者显示紊乱,则失败,检查电路是否正确,有没有连错,少连错连,不断地改正,不断改进,直到可以仿真,可以显示无错。 ③对校时、校分、校秒:按一下开关,脉冲过来就可以,增加一个数,依次按键对其进行时分秒校对。 四、主要实验元件及器材清单:

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”)(1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1 (3)逻辑电路: (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和 11表示A、B、AB和O四种血型。Y为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图:

数字逻辑电路课程设计题目及要求

数字逻辑电路课程设计题目及要求 项目一:高精密数控电源的设计和制作: 要求:电源输出电压为:0—9.9V,步进为0.1V,有两位数码管显示,每位有两个按键能加能减的作用。功率大小不做具体的要求,电路设计不能有专业的A/D芯片。项目二:自行车的里程表设计和制作: 要求:设计一个自行车里程表电路,有两位数码管显示,显示数字的单位为百米,自行车轮胎直径为0.99M。 项目三:自行车的速度测定仪表的设计和制作: 要求:设计一个自行车测速电路,有两位数码管显示,显示数字的单位为M/S,采样时间不能超过5S,自行车轮胎直径为0.99M。 项目四:多功能抢答器的设计和制作: 要求:多功能抢答器为八路的,具有数码和指示灯双重指示,电路的附加的电路一个有计时、报警等电路,所用芯片必须为我们所学的。 项目五:数字频率计的设计和制作: 要求:数字频率计的显示为两位数码管,单位为KHZ,具有溢出报警功能,附带震荡电路,频率可调几K到几百KHZ。 项目六:交通灯的设计和制作: 要求:具有真正模拟十字路口的交通灯的能力,红灯5秒,绿灯4秒,黄灯1秒等的时间也可以自己设定。 项目七:数字电子钟逻辑电路的设计和制作: 要求:数字电子钟逻辑电路要具有电子手表的功能,例如时间的设定,整点报时,可以设定闹钟等功能,只需四位数码。 项目八:定时控制器电路的设计和制作: 要求:定时控制器电路能够定时控制家用电器的开关,例如能按时开启、关断电饭煲煮饭等,电器用灯泡代替,时间可以缩短60倍。 项目九:LED广告牌电路设计和制作: 要求:LED广告牌电路能够模拟市面上的LED广告灯箱,能有四个字显示能力(共青学院),可以的话周围有霓虹灯闪烁。 项目十:易拉罐技术电路的设计与制作: 要求:易拉罐在传送带上过时,记录数值,以20灌为一个单位,满二十灌重新 计数并给出提示音,此过程模拟工厂易拉罐装箱过程. 项目十一:数显风扇调速器电路 要求:具有中低高三档,0为停止,1为低档。2为中档,3为高档。调节开关设 置成触摸,遥控等。可以的话加入温度自动控制电路。 项目十二:出租车计价器电路

交通灯定时控制系统的设计、制作

交通灯定时控制系统实验报告 一、课题名称: 交通灯定时控制系统的设计、制作 二、内容摘要: 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 三、设计内容及要求: 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s 内任意设定。 四、系统方案设计: 1、分析系统的逻辑功能,画出其框图; 交通灯定时控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: T Y :表示甲车道或乙车道从绿灯亮切换到黄灯亮的信号,在T L =1过后25s 时T Y =1,表示灯切换,在其他时候T Y =0。 T L :表示甲车道或乙车道从红灯亮切换到绿灯亮的信号,在T Y =1过后5s 时T L =1,表示灯切换,在其他时候T L =0。 且每个信号都为每30s 循环一次,因此我选用30s 循环的定时器。 T L -T Y = 5s T L T Y 图1 交通灯控制系统原理框图 2、逻辑设计,对各部分连接处进行定义,建立各部分之间的联系; 首先,由定时器组成30s 循环,在25s 时输出切换信号T Y =1,在30s 时输出T L =1,如此构成一个定时器的输出部分。接下来,由T L 、T Y 提供给控制器信号,在使其在T L 时刻进 秒脉冲 发生器 控制器 译码器 定时器 甲车道信号灯 乙车道信号灯

相关主题
文本预览
相关文档 最新文档