当前位置:文档之家› vhdl语言实验报告

vhdl语言实验报告

vhdl语言实验报告
vhdl语言实验报告

电子设计自动化

多路波形发生器

指导老师:刘彪

电气工程学院

电气1003班

杨黎

10291094

2012年10月27日

一、实验题目要求

1、对输入时钟信号进行分频,实现三路互差120°

的信号。

2、实现输出信号的占空比控制

clk: 输入时钟信号

reset: 同步复位信号(低电平有效)

div: 输入分频控制信号(注意:6n分频)

ctrl: 占空比控制信号

ctrl=1时, 占空比为1:1

ctrl=2时, 占空比为1:2

ctrl=3时, 占空比为2:1

A,B,C: 三路输出信号

二、设计思路及方案

1、多路发生器的基本原理分频器而且是可调的。因此可以先设计多个子程序分别使得信

号发生器的产生的占空比分别为1:1,1:2,2:1;因此先设div:integer range 1 to 4;。通过信号赋值(tmp,tmp1,tmp2)赋给输出信号A,B,C. 通过n改变输出频率。定义各个变量。

2、当resetb=0时,countQ=0;

当resetb=1时。给脉冲时先定义分频比如果countQ< (6*div-1)时countQ<= countQ否则countQ为0。

3、当cltr=01时即H:L=1:1时

①如果countQ< 3*div时tmp<=’0’;否者tmp<=’1’

②如果countQ< 2*div or countQ>(6*div-2))时tmp1<= '1';

否者tmp1<='0';

③如果countQ< div or countQ>(4*div-1)时tmp2<= '0';

④否者tmp2<='1';

⑤A等于tmp;B=tmp2;C=tmp3;

同理:当cltr=10时即H:L=1:2时

当cltr=11时即H:L=2:1时。

其中;公式推导如下:

当div=1,cltr=01时当div=2,cltr=01时

countQ<6 countQ<12

A:0 0 0 1 1 1;A:0 0 0 0 0 0 1 1 1 1 1 1

B:1 1 0 0 0 1;B:1 1 1 1 0 0 0 0 0 0 1 1

C:0 1 1 1 0 0;C:0 0 1 1 1 1 1 1 0 0 0 0

A:countQ<3时tmp=0 A;countQ<6时tmp=0

Else tmp=1 Else tmp=1

B:countQ<2 or countQ>4时tmp=1 B:countQ<4or countQ>10 ,tmp=1

Else tmp=0 Else tmp=0

C:countQ<1 or countQ>3时tmp=0 C: countQ<2 or countQ>7时tmp=0

Else tmp=1 Else tmp=1

同理:cltr=10,cltr=11.

当cltr=01时

if(countQ< 3*div) then tmp<= '0';else tmp<='1';

if(countQ< 2*div or countQ>(6*div-2)) then tmp1<= '1';else tmp1<='0';

if(countQ< div or countQ>(4*div-1)) then tmp2<= '0';else tmp2<='1';

当cltr=10时

if(countQ< 4*div) then tmp<= '0';else tmp<='1';

if(countQ< 2*div ) then tmp1<= '1';else tmp1<='0';

if(countQ< 2*div or countQ>(4*div-1)) then tmp2<= '0'; else tmp2<='1';

当cltr=11时

if(countQ< 2*div) then tmp<= '0';else tmp<='1';

if(countQ< 2*div or countQ>(4*div-1)) then tmp1<= '1';else tmp1<='0';

if(countQ< 4*div ) then tmp2<= '1';else tmp2<='0';

将信号tmp赋给A ; tmp1 赋给B ;tmp2 赋给C ;

三、流程图

四、实验程序及其波形libraryieee;

use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all;

entityfashengq is

port

(

clk:instd_logic;

aclk:outstd_logic;

bclk:outstd_logic;

cclk:outstd_logic;

resetb:instd_logic;

div:instd_logic_vector(1 downto 0);

ctrl:instd_logic_vector(1 downto 0)

);

endfangshengq;

architecture behave of fangshengq is signaltmp:std_logic;

signal tmp1:std_logic;

signal tmp2:std_logic;

signal cnt0:integer range 0 to 5:=0;

signal cnt1:integer range 0 to 11:=0;

signal cnt2:integer range 0 to 17:=0;

signal cnt3:integer range 0 to 23:=0;

begin

process(clk,resetb,div,ctrl)

begin

if clk'event and clk='1' then

ifresetb='0' then

cnt0<=0;

cnt1<=0;

cnt2<=0;

cnt3<=0;

tmp<='0';

tmp1<='0';

tmp2<='0';

elsifresetb='1' then

cnt0<=cnt0+1;

cnt1<=cnt1+1;

cnt2<=cnt2+1;

cnt3<=cnt3+1;

case ctrl is

when "01"=>

case div is

when "00"=>

case cnt0 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='1';

when 2=>tmp<='0';tmp1<='0';tmp2<='1';

when 3=>tmp<='1';tmp1<='0';tmp2<='1';

when 4=>tmp<='1';tmp1<='0';tmp2<='0';

when 5=>tmp<='1';tmp1<='1';tmp2<='0';cnt0<=0; end case;

when "01"=>

case cnt1 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='1';

when 3=>tmp<='0';tmp1<='1';tmp2<='1';

when 4=>tmp<='0';tmp1<='0';tmp2<='1';

when 5=>tmp<='0';tmp1<='0';tmp2<='1';

when 6=>tmp<='1';tmp1<='0';tmp2<='1';

when 7=>tmp<='1';tmp1<='0';tmp2<='1';

when 8=>tmp<='1';tmp1<='0';tmp2<='0';

when 9=>tmp<='1';tmp1<='0';tmp2<='0';

when 10=>tmp<='1';tmp1<='1';tmp2<='0';

when 11=>tmp<='1';tmp1<='1';tmp2<='0';cnt1<=0; end case;

when "10"=>

case cnt2 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='0';

when 3=>tmp<='0';tmp1<='1';tmp2<='1';

when 4=>tmp<='0';tmp1<='1';tmp2<='1';

when 5=>tmp<='0';tmp1<='1';tmp2<='1';

when 6=>tmp<='0';tmp1<='0';tmp2<='1';

when 7=>tmp<='0';tmp1<='0';tmp2<='1';

when 8=>tmp<='0';tmp1<='0';tmp2<='1';

when 9=>tmp<='1';tmp1<='0';tmp2<='1';

when 10=>tmp<='1';tmp1<='0';tmp2<='1';

when 11=>tmp<='1';tmp1<='0';tmp2<='1';

when 12=>tmp<='1';tmp1<='0';tmp2<='0';

when 13=>tmp<='1';tmp1<='0';tmp2<='0';

when 14=>tmp<='1';tmp1<='0';tmp2<='0';

when 15=>tmp<='1';tmp1<='1';tmp2<='0';

when 16=>tmp<='1';tmp1<='1';tmp2<='0';

when 17=>tmp<='1';tmp1<='1';tmp2<='0';cnt2<=0; end case;

when "11"=>

case cnt3 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='0';

when 3=>tmp<='0';tmp1<='1';tmp2<='0';

when 4=>tmp<='0';tmp1<='1';tmp2<='1';

when 5=>tmp<='0';tmp1<='1';tmp2<='1';

when 6=>tmp<='0';tmp1<='1';tmp2<='1';

when 7=>tmp<='0';tmp1<='1';tmp2<='1';

when 8=>tmp<='0';tmp1<='0';tmp2<='1';

when 9=>tmp<='0';tmp1<='0';tmp2<='1';

when 10=>tmp<='0';tmp1<='0';tmp2<='1';

when 11=>tmp<='0';tmp1<='0';tmp2<='1';

when 12=>tmp<='1';tmp1<='0';tmp2<='1';

when 13=>tmp<='1';tmp1<='0';tmp2<='1';

when 14=>tmp<='1';tmp1<='0';tmp2<='1';

when 15=>tmp<='1';tmp1<='0';tmp2<='1';

when 16=>tmp<='1';tmp1<='0';tmp2<='0';

when 17=>tmp<='1';tmp1<='0';tmp2<='0';

when 18=>tmp<='1';tmp1<='0';tmp2<='0';

when 19=>tmp<='1';tmp1<='0';tmp2<='0';

when 20=>tmp<='1';tmp1<='1';tmp2<='0';

when 21=>tmp<='1';tmp1<='1';tmp2<='0';

when 22=>tmp<='1';tmp1<='1';tmp2<='0';

when 23=>tmp<='1';tmp1<='1';tmp2<='0';cnt3<=0; end case;

end case;

when "10"=>

case div is

when "00"=>

case cnt0 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='0';tmp2<='1';

when 3=>tmp<='0';tmp1<='0';tmp2<='1';

when 4=>tmp<='1';tmp1<='0';tmp2<='0';

when 5=>tmp<='1';tmp1<='0';tmp2<='0';cnt0<=0; end case;

when "01"=>

case cnt1 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='0';

when 3=>tmp<='0';tmp1<='1';tmp2<='0';

when 4=>tmp<='0';tmp1<='0';tmp2<='1';

when 5=>tmp<='0';tmp1<='0';tmp2<='1';

when 6=>tmp<='0';tmp1<='0';tmp2<='1';

when 7=>tmp<='0';tmp1<='0';tmp2<='1';

when 8=>tmp<='1';tmp1<='0';tmp2<='0';

when 9=>tmp<='1';tmp1<='0';tmp2<='0';

when 10=>tmp<='1';tmp1<='0';tmp2<='0';

when 11=>tmp<='1';tmp1<='0';tmp2<='0';cnt1<=0; end case;

when "10"=>

case cnt2 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='0';

when 3=>tmp<='0';tmp1<='1';tmp2<='0';

when 4=>tmp<='0';tmp1<='1';tmp2<='0';

when 5=>tmp<='0';tmp1<='1';tmp2<='0';

when 6=>tmp<='0';tmp1<='0';tmp2<='1';

when 7=>tmp<='0';tmp1<='0';tmp2<='1';

when 8=>tmp<='0';tmp1<='0';tmp2<='1';

when 9=>tmp<='0';tmp1<='0';tmp2<='1';

when 10=>tmp<='0';tmp1<='0';tmp2<='1';

when 11=>tmp<='0';tmp1<='0';tmp2<='1';

when 12=>tmp<='1';tmp1<='0';tmp2<='0';

when 13=>tmp<='1';tmp1<='0';tmp2<='0';

when 14=>tmp<='1';tmp1<='0';tmp2<='0';

when 15=>tmp<='1';tmp1<='0';tmp2<='0';

when 16=>tmp<='1';tmp1<='0';tmp2<='0';

when 17=>tmp<='1';tmp1<='0';tmp2<='0';cnt2<=0; end case;

when "11"=>

case cnt3 is

when 0=>tmp<='0';tmp1<='1';tmp2<='0';

when 1=>tmp<='0';tmp1<='1';tmp2<='0';

when 2=>tmp<='0';tmp1<='1';tmp2<='0';

when 3=>tmp<='0';tmp1<='1';tmp2<='0';

when 4=>tmp<='0';tmp1<='1';tmp2<='0';

when 5=>tmp<='0';tmp1<='1';tmp2<='0';

when 6=>tmp<='0';tmp1<='1';tmp2<='0';

when 7=>tmp<='0';tmp1<='1';tmp2<='0';

when 8=>tmp<='0';tmp1<='0';tmp2<='1';

when 9=>tmp<='0';tmp1<='0';tmp2<='1';

when 10=>tmp<='0';tmp1<='0';tmp2<='1';

when 11=>tmp<='0';tmp1<='0';tmp2<='1';

when 12=>tmp<='0';tmp1<='0';tmp2<='1';

when 13=>tmp<='0';tmp1<='0';tmp2<='1';

when 14=>tmp<='0';tmp1<='0';tmp2<='1';

when 15=>tmp<='0';tmp1<='0';tmp2<='1';

when 16=>tmp<='1';tmp1<='0';tmp2<='0';

when 17=>tmp<='1';tmp1<='0';tmp2<='0';

when 18=>tmp<='1';tmp1<='0';tmp2<='0';

when 20=>tmp<='1';tmp1<='0';tmp2<='0';

when 21=>tmp<='1';tmp1<='0';tmp2<='0';

when 22=>tmp<='1';tmp1<='0';tmp2<='0';

when 23=>tmp<='1';tmp1<='0';tmp2<='0';cnt3<=0; end case;

end case;

when "11"=>

case div is

when "00"=>

case cnt0 is

when 0=>tmp<='0';tmp1<='1';tmp2<='1';

when 1=>tmp<='0';tmp1<='1';tmp2<='1';

when 2=>tmp<='1';tmp1<='0';tmp2<='1';

when 3=>tmp<='1';tmp1<='0';tmp2<='1';

when 4=>tmp<='1';tmp1<='1';tmp2<='0';

when 5=>tmp<='1';tmp1<='1';tmp2<='0';cnt0<=0; end case;

when "01"=>

case cnt1 is

when 0=>tmp<='0';tmp1<='1';tmp2<='1';

when 1=>tmp<='0';tmp1<='1';tmp2<='1';

when 2=>tmp<='0';tmp1<='1';tmp2<='1';

when 3=>tmp<='0';tmp1<='1';tmp2<='1';

when 4=>tmp<='1';tmp1<='0';tmp2<='1';

when 5=>tmp<='1';tmp1<='0';tmp2<='1';

when 6=>tmp<='1';tmp1<='0';tmp2<='1';

when 7=>tmp<='1';tmp1<='0';tmp2<='1';

when 8=>tmp<='1';tmp1<='1';tmp2<='0';

when 9=>tmp<='1';tmp1<='1';tmp2<='0';

when 10=>tmp<='1';tmp1<='1';tmp2<='0';

when 11=>tmp<='1';tmp1<='1';tmp2<='0';cnt1<=0; end case;

when "10"=>

case cnt2 is

when 0=>tmp<='0';tmp1<='1';tmp2<='1';

when 1=>tmp<='0';tmp1<='1';tmp2<='1';

when 2=>tmp<='0';tmp1<='1';tmp2<='1';

when 3=>tmp<='0';tmp1<='1';tmp2<='1';

when 4=>tmp<='0';tmp1<='1';tmp2<='1';

when 5=>tmp<='0';tmp1<='1';tmp2<='1';

when 6=>tmp<='1';tmp1<='0';tmp2<='1';

when 7=>tmp<='1';tmp1<='0';tmp2<='1';

when 8=>tmp<='1';tmp1<='0';tmp2<='1';

when 10=>tmp<='1';tmp1<='0';tmp2<='1';

when 11=>tmp<='1';tmp1<='0';tmp2<='1';

when 12=>tmp<='1';tmp1<='1';tmp2<='0';

when 13=>tmp<='1';tmp1<='1';tmp2<='0';

when 14=>tmp<='1';tmp1<='1';tmp2<='0';

when 15=>tmp<='1';tmp1<='1';tmp2<='0';

when 16=>tmp<='1';tmp1<='1';tmp2<='0';

when 17=>tmp<='1';tmp1<='1';tmp2<='0';cnt2<=0; end case;

when "11"=>

case cnt3 is

when 0=>tmp<='0';tmp1<='1';tmp2<='1';

when 1=>tmp<='0';tmp1<='1';tmp2<='1';

when 2=>tmp<='0';tmp1<='1';tmp2<='1';

when 3=>tmp<='0';tmp1<='1';tmp2<='1';

when 4=>tmp<='0';tmp1<='1';tmp2<='1';

when 5=>tmp<='0';tmp1<='1';tmp2<='1';

when 6=>tmp<='0';tmp1<='1';tmp2<='1';

when 7=>tmp<='0';tmp1<='1';tmp2<='1';

when 8=>tmp<='1';tmp1<='0';tmp2<='1';

when 9=>tmp<='1';tmp1<='0';tmp2<='1';

when 10=>tmp<='1';tmp1<='0';tmp2<='1';

when 11=>tmp<='1';tmp1<='0';tmp2<='1';

when 12=>tmp<='1';tmp1<='0';tmp2<='1';

when 13=>tmp<='1';tmp1<='0';tmp2<='1';

when 14=>tmp<='1';tmp1<='0';tmp2<='1';

when 15=>tmp<='1';tmp1<='0';tmp2<='1';

when 16=>tmp<='1';tmp1<='1';tmp2<='0';

when 17=>tmp<='1';tmp1<='1';tmp2<='0';

when 18=>tmp<='1';tmp1<='1';tmp2<='0';

when 19=>tmp<='1';tmp1<='1';tmp2<='0';

when 20=>tmp<='1';tmp1<='1';tmp2<='0';

when 21=>tmp<='1';tmp1<='1';tmp2<='0';

when 22=>tmp<='1';tmp1<='1';tmp2<='0';

when 23=>tmp<='1';tmp1<='1';tmp2<='0';cnt3<=0; end case;

end case;

when "00"=>tmp<='0';tmp1<='0';tmp2<='0';

end case;

end if;

end if;

end process;

aclk<=tmp;

bclk<=tmp1;

cclk<=tmp2;

end behave;

实验波形如下图所示:【1】

【2】

【3】

【4】

五、实验心得

本次实验对我来说还是相当有难度的,因为要写很多程序,还有要求对软件的熟悉程度,之前做的三个实验对我来说用处很大,首先对软件熟悉了很多,也知道了写程序的大概步骤。例如不知道A,B,C,输出三路相位差120的意思,通过查询资料知道A,B,C 输出三路占空比一样延迟2/3T.6.A,B,C通过什么控制三路相差120度。这一点对我来说也很难,后来查找各种例子才得出下面的方法:通过控制时间延迟为20ns和40ns发现如果clk一个脉冲时间变化就会导致波形不一样而且分频不一样导致延迟时间不一样。然后通过一个一个的把波形用高低电平。通过给一个脉冲,控制cltr,div观察输出A,B,C的电平。第四个程序调用了很多when case的语句,这样就加强了我对条件语句的理解,本次实验的收获相当大,之前只知道数字电路可以制作出很多东西,但是现在才发现其实用电脑可以仿真出更多的东西,而且更加简单快捷。

VHDL实验报告

《创新实验》实验报告 —基于VHDL的编程和硬件实现

一、实验目的 1.熟悉和掌握硬件描述语言VHDL的基本语法及编写; 2.掌握软件Xilinx ISE 10.1的使用; 3.熟悉SDZ-6电子技术实验箱的使用; 4.了解节拍脉冲发生器等基本电路的实现; 5.了解八位二进制计数器的功能与设计; 6.学习键盘和七段数码管显示的控制和设计。 二、实验内容 1.Xilinx ISE 10.1软件的使用; 2.节拍脉冲发生器等基本电路的实现; 3.八位二进制计数器的实现 4.键盘扫描及显示的实现 三、实验器材 1、PC机 2、SDZ-6电子技术实验箱 3、正负5V电源 4、I/O接口线 四、软件的使用 在安装Xilinx10.1软件时,需要一个ID号,其实这个ID号是可以重复使用的,几个同学在官网注册后就可以共享ID号了。 安装完成之后就可以使用这个软件编写相应的VHDL的程序。 1.新建工程 File—>New Project 弹出下面的对话框 输入工程名后单击Next。然后根据本实验的实验箱进行以下设置。

以后的步骤一般都是单击Next(有些资料上会介绍有些这些步骤的具体功能,但对于本实验不必用到),最后单击Finish,完成新建一个工程。在窗口的左边会出现刚刚新建的工程,如下: 2.新建一个VHDL的源文件。 在上图中,右击工程选择New Source ,弹出如下对话框。

在对画框的左边选择VHDL Module,输入文件的名字(改名字最好是你定义的实体的名字)。单击Next。出现下面的对话框。 该对话框主要是对外部端口的编辑。可以直接跳过,即单击Next,在源文件上编辑端口。然后在接下来的对话框中单击Finish。完成建立一个源文件。窗口右边就会出现刚才编辑的源文件。 3.编写和编译代码 将事先编好的代码复制到源文件里,然后保存文件。 选中左边的文件名,在窗体的左边出现如下编辑文档内容。

VHDL课程设计-PS2键盘

VHDL课程设计-PS2键盘

目录 一、课程设计的目的与任务 (3) 二、课程设计题目 (3) 1、指定题目: (3) 2、自选题目: (3) 三、课程设计的内容与要求 (3) 1、设计内容 (4) 2、设计要求 (4) 四、实验仪器设备 (4) 五、设计方案 (4) 1、PS2解码 (4) 2、设计思路 (6) 3、模块设计 (7) 4、各模块分析 (8) (1)PS2时钟检测模块 8 (2)PS2解码模块 10 (3)PS2组合模块 12 (4)控制LED模块 14 (5)PS2总的组合模块 16 六、综合与仿真 (17) 1、综合 (17) 2、仿真 (18) (1)电平检测模块仿真 (18) (2)LED灯控制模块仿真 (18)

(3)PS2_module总模块仿真 (19) 七、硬件下载 (23) 八、心得体会 (24) 九、参考文献 (24) 一、课程设计的目的与任务 (1)熟练掌握EDA工具软件QuartusII的使用; (2)熟练用VHDL硬件描述语言描述数字电路; (3)学会使用VHDL进行大规模集成电路设计; (4)学会用CPLD\FPGA使用系统硬件验证电路设计的正确性; (5)初步掌握EDA技术并具备一定的可编程逻辑芯片的开发能力; 二、课程设计题目 1、指定题目: 0 :多功能计数器;1 :数字秒表;2 :简易数字钟;3 :简易频率计; 4 :彩灯控制器; 5 :交通灯控制器; 6 :四路智力竞赛抢答器; 7 :简易微波炉控制器;8 :表决器;9 :数字密码锁; 我的的学号尾数是2,所以我要做的题目是简易数字钟。由于我之前已经学过Verilog HDL和VHDL,所以简易数字钟相对于我比较简单,我完成了简易数字钟并验收后,再选择了另一个自选题目来完成。 简易数字钟:设计一个以“秒”为基准信号的简易数字钟,显示时、分、秒,同时可实现整点报时和清零(我已经完成,而且已经验收了)。 2、自选题目: 在完成了数字钟的设计后,我选择了另一个设计的题目,那就是PS2键盘扫描。所以这次课程设计我的报告主要详细写的是PS2键盘扫描的程序,而不是简易数字钟。 PS键盘扫描:设计一个PS键盘扫描程序,能接受键盘的输入时钟和数据,区别哪一个键输入,同时解译通码和断码,使用LED灯来显示收到的数据。三、课程设计的内容与要求

VHDL实验报告03137

VHDL实验报告 60 庄炜旭实验三. 4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 一.实验目的 学习时序电路的设计,仿真和硬件测试,进一步熟悉VHDL设计技术 1. 学习4位可逆计数器的设计 2. 学习4位可逆二进制代码-格雷码转换器设计 二.实验内容 设计4位可逆计数器,及4位可逆二进制代码-格雷码转换器,并仿真,下载。 [具体要求] 1.4位可逆计数器 a)使用CLOCK_50作为输入时钟,其频率为50MHz(对于频率大于50Hz的闪烁, 人眼会看到连续的光),因而,对其进行225的分频后,再用于时钟控制。(可 利用实验一) b)使用拨码开关SW17作为模式控制,置‘1’时为加法计数器,置‘0’时为减 法计数器,同时使用LEDR17显示SW17的值。 c)使用KEY3作为异步复位开关(按下时为0,不按为1),当为加法计数器时, 置“0000”,当为减法计数器时,置“1111”。 d)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 2.4位可逆二进制代码――格雷码转换器 a)使用拨码开关SW17作为模式控制,置‘1’时为二进制代码―>格雷码转换, 置‘0’时为格雷码―>二进制代码,同时使用LEDR17显示SW17的值。 b)使用拨码开关SW3, SW2, SW1, SW0作为输入的被转换数,SW3为高位,SW0 为低位。 c)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 三.管脚设定 SW[0]PIN_N25 SW[1]PIN_N26 SW[2]PIN_P25 SW[3] PIN_AE14 SW[17] PIN_V2 LEDR[0] PIN_AE23 LEDR[1] PIN_AF23 LEDR[2] PIN_AB21 LEDR[3] PIN_AC22 LEDR[17] PIN_AD12 KEY[3] PIN_W26

VHDL课程设计PS键盘

目录 一、课程设计的目的与任务 (1)熟练掌握EDA工具软件QuartusII的使用;

(2)熟练用VHDL硬件描述语言描述数字电路; (3)学会使用VHDL进行大规模集成电路设计; (4)学会用CPLD\FPGA使用系统硬件验证电路设计的正确性; (5)初步掌握EDA技术并具备一定的可编程逻辑芯片的开发能力; 二、课程设计题目 1、指定题目: 0 :多功能计数器; 1 :数字秒表; 2 :简易数字钟; 3 :简易频率计; 4 :彩灯控制器; 5 :交通灯控制器; 6 :四路智力竞赛抢答器; 7 :简易微波炉控制器; 8 :表决器;9 :数字密码锁; 我的的学号尾数是2,所以我要做的题目是简易数字钟。由于我之前已经学过Verilog HDL和VHDL,所以简易数字钟相对于我比较简单,我完成了简易数字钟并验收后,再选择了另一个自选题目来完成。 简易数字钟:设计一个以“秒”为基准信号的简易数字钟,显示时、分、秒,同时可实现整点报时和清零(我已经完成,而且已经验收了)。 2、自选题目: 在完成了数字钟的设计后,我选择了另一个设计的题目,那就是PS2键盘扫描。所以这次课程设计我的报告主要详细写的是PS2键盘扫描的程序,而不是简易数字钟。 PS键盘扫描:设计一个PS键盘扫描程序,能接受键盘的输入时钟和数据,区别哪一个键输入,同时解译通码和断码,使用LED灯来显示收到的数据。 三、课程设计的内容与要求 1、设计内容 (1)系统功能的分析; (2)实现系统功能的实际方案; (3)编写各功能模块的VHDL语言程序; (4)对各功能模块进行编译、综合、仿真、分析; (5)顶层文件设计 (6)对整个系统进行编译、综合、仿真、分析; (7)在CPLD\FPGA实验开发系统试验箱上进行硬件验证; (8)写实验报告;

VHDL课程设计报告

湖南科技大学 信息与电气工程学院 课程设计任务书 20 —20 学年第学期 专业:学号:姓名: 课程设计名称: 设计题目: 完成期限:自年月日至年月日共周设计依据、要求及主要内容(可另加附页): 指导教师(签字): 批准日期:年月日

目录 一、摘要 二、VHDL语言介绍 三、设计的目的 四、设计内容 五、电路工作原理 六、主要程序及仿真结果 七、对本次设计的体会和建议 八、参考文献

一、摘要 人类社会已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronics Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。EDA技术在电子系统设计领域越来越普及,本设计主要利用VHDL语言设计一个电子数字钟,它的计时周期为24小时,显示满刻度为24时59分59秒。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用软件进行电路波形仿真。 关键词:数字钟EDA VHDL语言 二、VHDL语言介绍 1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL 设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。 三、设计的目的 本项实验通过六十进制计数器和数字钟的设计与仿真,学习VHDL语言及VHDL文本输入设计方法,编写六十进制计数器和数字钟源程序,应用VMAX+plusII软件进HDL文本输入设计与波形仿真。熟练地运用数字系统的设计方法进行数字系统设计,能进行较复杂的数字系统设计,按要求设计一个数字钟。 四、设计内容 1、60进制计数器计数器 60进制计数器计数器是一个用以实现计数功能的时序部件,它不仅可用来及脉冲数,还常用作数子系统的定时、分频和执行数字运算以及其它特定的逻辑功能。计数器种类很多。按

基于VHDL语言的EDA实验报告(附源码)

EDA 实验报告 ——多功能电子钟 姓名:张红义 班级:10级电科五班 学号:1008101143 指导老师:贾树恒

电子钟包括:主控模块,计时模块,闹钟模块,辅控模块,显示模块,蜂鸣器模块,分频器模块。 1.主控模块: 主要功能:控制整个系统,输出现在的状态,以及按键信息。 源代码: libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_arith.all; useieee.std_logic_unsigned.all; entity mc is port(functionswitch,k,set,lightkey: in std_logic; chose21,setout: out std_logic; lightswitch:bufferstd_logic; modeout,kmodeout : out std_logic_vector(1 downto 0); setcs,setcm,setch,setas,setam,setah:outstd_logic); end mc; architecture work of mc is signalmode,kmode:std_logic_vector(1 downto 0); signal light,chose21buf:std_logic; signalsetcount:std_logic_vector(5 downto 0); begin process(functionswitch,k,set,lightkey) begin iffunctionswitch'event and functionswitch='1' then mode<=mode+'1'; end if; iflightkey'event and lightkey='1' then lightswitch<=not lightswitch; end if; if mode="01" thenchose21buf<='0'; else chose21buf<='1'; end if; ifk'event and k='1' then if mode="01" or mode="11" then kmode<=kmode+'1'; end if;end if; if set='1' then if mode = "01" then ifkmode="01" then setcount<="000001"; elsifkmode="10" thensetcount<="000010"; elsifkmode="11" then setcount<="000100";

VHDL实验报告论文

硬件描述语言期末实验报告 题目:硬件描述语言实现秒表功能 姓名xxxx 学号xxxxxxxxxx 年级专业xxxxxxxxxxxxx 指导教师xxxx 2012年6月20日

河北大学本科生VHDL硬件实验论文(设计) 硬件描述语言实现秒表功能 摘要 应用VHDL语言设计数字系统,很多设计工作可以在计算机上完成,从而缩短了数字系统的开发时间。我们尝试利用VHDL为开发工具设计数字秒表。 秒表的逻辑结构较简单,它主要由十进制计数器、六进制计数器、数据选择器、和显示译码器等组成。在整个秒表中最关键的是如何获得一个精确的100HZ计时脉冲,除此之外整个秒表还需有一个启动信号,暂停信号和一个清零信号,以便秒表能随意停止及启动。 关键词:VHDL语言数字秒表时序仿真图

目录 一、实验目的 (1) 二、硬件要求 (1) 三、引脚说明 (1) 四、模块介绍 (2) 4.1.计数器(六、十进制) (2) 4.2.蜂鸣器 (2) 4.3.译码器 (3) 4.4.控制器 (4) 五、整体连接图 (5) 六、实验结果 (6) 七、实验总结 (6) 八、谢辞 (7) 九、附录 (7)

一实验目的 学习使用VHDL语言,以及EDA芯片的下载仿真。 二硬件要求 (1)主芯片EPF10K10LC84-4。 (2)蜂鸣器。 (3)8位八段扫描共阴极数码显示管。 (4)二个按键(暂停,开关)。 三引脚说明 3.1引脚设置 3.2信号说明 signal q:std_logic_vector(3 downto 0); --q是用于分频的信号。 signal state: std_logic_vector(3 downto 0); --state为状态信号,state为1时为暂停记录状态,为0时为正常显示计数状态。signal led: std_logic_vector(3 downto 0); --led为数码管扫描信号,通过对d1~d6的选择使数码管发光。

VHDL课程设计

本科实验报告 实验名称:数字系统设计与实验(软件部分)

实验一 QuartusII9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用. 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验内容 1.十进制加法计数器的VHDL文本及仿真 功能图: VHDL文本: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin if(load='1')then q_temp<=data_in; elsif(clk'event and clk='1')then

if(en='0')then qout<=qout; elsif(qout="1001")then qout<="0000"; else qout<=qout+1; end if; q_temp<=qout; end if; end process; process(q_temp) begin case q_temp is when"0000"=>seg<="1000000"; when"0001"=>seg<="1111001"; when"0010"=>seg<="0100100"; when"0011"=>seg<="0110000"; when"0100"=>seg<="0011001"; when"0101"=>seg<="0010010"; when"0110"=>seg<="0000010"; when"0111"=>seg<="1111000"; when"1000"=>seg<="0000000"; when"1001"=>seg<="0010000"; when others=>seg<="0001000"; end case; end process; end beha; 功能仿真流程及结果: 全编译通过后,进行仿真 新建波形文件,在其中添加所需节点。将clk设置为时钟信号,将en设置为高电平,将load 设置为低电平,将data_in设置为想要预置的数值。 运行Generate Functional Simulation Nest List命令产生能仿真的网标文件。点击Start Simulation开始模拟仿真 仿真结果:

VHDL实验报告

专用集成电路实验报告 13050Z01 1305024237 刘德文

实验一开发平台软件安装与认知实验 实验内容 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计 电路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 源程序: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components. --library UNISIM; --use UNISIM.VComponents.all; entity ls74138 is Port ( g1 : in std_logic; g2 : in std_logic; inp : in std_logic_vector(2 downto 0); y : out std_logic_vector(7 downto 0)); end ls74138; architecture Behavioral of ls74138 is begin process(g1,g2,inp) begin if((g1 and g2)='1') then case inp is when "000"=>y<="00000001"; when "001"=>y<="00000010";

EDA综合课程设计实验报告

EDA综合课程设计实验报告 题目:设计一个全双工UART电路院系:XXXX学院 学号:XXXXX 姓名:严XX 教师:林XX 时间:2012.06.02

1 课程设计的摘要原理 (2) 2 设计一个全双工UART电路,具体要求如下: (6) 3.UART设计 (7) 3.1 UART结构 (7) 3.2 UART的帧格式 (8) 4 UART的Verilog HDL语言设计 (9) 4.1 UART分频器 (9) 4.2UART发送模块 (10) 4.3 UART的接收模块 (14) 4.4 UART的硬件测试 (18) 5 课程设计总结 (19)

1摘要 UART协议是数据通信及控制系统中广泛使用的一种全双工串行数据传输协议,在实际工业生产中有时并不使用UART的全部功能。只需将其核心功能集成即可。波特率发生器、接收器和发送器是UART的三个核心功能模块,利用Vefilog-HDL语言对这三个功能模块进行描述并加以整合UART(即Universal AsynchronousReceiver Transmitter 通用异步收发器)是广泛使用的串行数据传输协议。UART允许在串行链路上进行全双工的通信。串行外设用到RS232-C异步串行接口,一般采用专用的集成电路即UART实现。如8250、8251、NS16450等芯片都是常见的UART器件,这类芯片已经相当复杂,有的含有许多辅助的模块(如FIFO),有时我们不需要使用完整的UART的功能和这些辅助功能。或者设计上用到了FPGA/CPLD器件,那么我们就可以将所需要的UART功能集成到FPGA内部。使用VHDL或Veriolog -HDL将UART的核心功能集成,从而使整个设计更加紧凑、稳定且可靠。本文应用EDA技术,基于FPGA/CPLD器件设计与实现UART。 实际应用上,有时我们不需要使用完整的UART的功能和这些辅助功能。使用Verilog-HDL将所需要的UART的核心功能集成到FPGA/CPLD内部,就可以实现紧凑、稳定且可靠的UART数据传输。这样,既可以满足实际的应用,实现所要求的简单的通信和控制,又能够去除更多不需要的繁杂复杂的功能实现。 一、UART的原理 串行通信是指外部设备和计算机间使用一根数据线(另外需要地线,可能还需要控制线)进行数据传输的方式。数据在一根数据线上一位一位传输,每一位数据都占据一个固定的时间长度。与并行通信方式相比,串行通信方式的传输速度较慢,但这种通信方式使用的数据线少,在远距离通信中可以节约通信成本,因此得到了广泛的应用。 基本的UART只需要发送和接收两条数据线就可以完成数据的全双工通信,其基本功能是在发送端将控制器通过总线传过来的并行数据,以设定的格式,设定的频率串行地传输出去,并同时在接收端将串行接收到的数据,转换成相应的并行数据发送出去。UART的基本帧格式如图1所示。其中,起始位总是逻辑O状态,停止位总是逻辑l状态,其持续时间可选为1位、1.5位或2位,其数据位可为5、6、7、8位,校验位可根据需要选择奇校验位,偶校验位或无校验位。

vhdl实验报告--蜂鸣器

VHDL 实验报告 一、实验目的 1、掌握蜂鸣器的使用; 2、通过复杂实验,进一步加深对VHDL语言的掌握程度。 二、实验原理乐曲都是由一连串的音符组成,因此按照乐曲的乐谱依次输出这些音符所对应的频率,就可以在蜂鸣器上连续地发出各个音符的音调。而要准确地演奏出一首乐曲,仅仅让蜂鸣器能够发声是不够的,还必须准确地控制乐曲的节奏,即每个音符的持续时间。由此可见,乐曲中每个音符的发音频率及其持续的时间是乐曲能够连续演奏的两个关键因素。 乐曲的12 平均率规定:每2 个八度音(如简谱中的中音1 与高音1)之间的频率相差1 倍。在2个八度音之间,又可分为12个半音。另外,音符A(简谱中的低音6)的频率为440Hz, 音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音I至高音1 之间每个音符的频率,如表所示。 音名频率/Hz 音名频率/Hz 音名频率/Hz 低音1 中音1 高音1 低音2 中音2 高音2 低音3 中音3 高音3 低音4 中音4 高音4 低音5 392 中音5 784 高音5 1568 低音6 440 中音6 880 高音6 1760 低音7 中音7 高音7 表简谱音名与频率的对应关系 产生各音符所需的频率可用一分频器实现, 由于各音符对应的频率多为非整数, 而分频系数又不能为小数, 故必须将计算得到的分频数四舍五入取整。若分频器时钟频率过低, 则由于分频系数过小, 四舍五入取整后的误差较大;若时钟频率过高,虽然误差变小,但分频数将变大。实际的设计应综合考虑两方面的因素, 在尽量减小频率误差的前提下取合适的时钟频率。实际上,只要各个音符间的相对频率关系不变,演奏出的乐曲听起来都不会走调。 音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定。因此, 要控制音符的音 长,就必须知道乐曲的速度和每个音符所对应的节拍数, 本例所演奏的乐曲的最短的音符为四分音符,如果将全音符的持续时间设为1s 的话,那么一拍所应该持续的时间为秒,则只需要提供一个4HZ的时钟频率即可产生四分音符的时长。 本例设计的音乐电子琴选取40MHZ的系统时钟频率。在数控分频器模块,首先对时钟频率进行40分频,得到1MHZ的输入频率,然后再次分频得到各音符的频率。由于数控分频器 输出的波形是脉宽极窄的脉冲波, 为了更好的驱动蜂鸣器发声, 在到达蜂鸣器之前需要均衡占空比, 从而生成各音符对应频率的对称方波输出。这个过程实际上进行了一次二分频, 频率变为原来的二分之一即。 因此,分频系数的计算可以按照下面的方法进行。以中音1为例,对应的频率值为 523. 3Hz,它的分频系数应该为: 0.375MHZ 0.375 106 716 523.3 523.3

vhdl流水灯课程设计报告

院系: 姓名: 学号: 课程设计名称: 指导老师: 时间:

摘要 VHDL的特点 应用VHDL进行系统设计,有以下几方面的特点。 (一)功能强大 VHDL具有功能强大的语言结构。它可以用明确的代码描述复杂的控制逻辑设计。并且具有多层次的设计描述功能,支持设计库和可重复使用的元件生成。VHDL是一种设计、仿真和综合的标准硬件描述语言。 (二)可移植性 VHDL语言是一个标准语言,其设计描述可以为不同的EDA工具支持。它可以从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台。此外,通过更换库再重新综合很容易移植为ASIC设计。 (三)独立性 VHDL的硬件描述与具体的工艺技术和硬件结构无关。设计者可以不懂硬件的结构,也不必管最终设计实现的目标器件是什么,而进行独立的设计。程序设计的硬件目标器件有广阔的选择范围,可以是各系列的CPLD、FPGA及各种门阵列器件。 (四)可操作性 由于VHDL具有类属描述语句和子程序调用等功能,对于已完成的设计,在不改变源程序的条件下,只需改变端口类属参量或函数,就能轻易地改变设计的规模和结构。 (五)灵活性 VHDL最初是作为一种仿真标准格式出现的,有着丰富的仿真语句和库函数。使其在任何大系统的设计中,随时可对设计进行仿真模拟。所以,即使在远离门级的高层次(即使设计尚未完成时),设计者就能够对整个工程设计的结构和功能的可行性进行查验,并做出决策。VHDL的设计结构 VHDL描述数字电路系统设计的行为、功能、输入和输出。它在语法上与现代编程语言相似,但包含了许多与硬件有特殊关系的结构。 VHDL将一个设计称为一个实体Entity(元件、电路或者系统),并且将它分成外部的可见部分(实体名、连接)和内部的隐藏部分(实体算法、实现)。当定义了一个设计的实体之后,其他实体可以利用该实体,也可以开发一个实体库。所以,内部和外部的概念对系统设计的VHDL是十分重要的。

vhdl实验报告

福建农林大学计算机与信息学院 信息工程类 实验报告 2013年11 月13 日

实验项目列表

福建农林大学计算机与信息学院信息工程类实验报告 系:电子信息工程系专业:电子信息工程年级: 2010级 姓名:学号:实验课程: VHDL数字系统设计 实验室号:__ 田C407 实验设备号: 07 实验时间: 11.12 指导教师签字:成绩: 实验一数控分频器的设计 1.实验目的和要求 学习数控分频器的设计、分析和测试方法。 2.实验原理 信号有不同的分频比,数控分频器就是用计数值可并行预置的加法计数器设计完成的,方法是将计数溢出位与预置数加载输入信号相接即可,详细设计程序如例1所示。 数控分频器的仿真波形如图1所示:输入不同的CLK频率和预置值D,给出如图1的时序波形。 100.0μs200.0μs300.0μs400.0μs 图1 当给出不同输入值D时,FOUT输出不同频率(CLK周期=50ns) 3.主要仪器设备(实验用的软硬件环境) 实验的硬件环境是: 微机一台 GW48 EDA实验开发系统一套 电源线一根 十芯JTAG口线一根 USB下载线一根 USB下载器一个 示波器 实验的软件环境是: Quartus II 9.0软件

4.操作方法与实验步骤 (1)创建工程,并命名位test。 (2)打开QuartusII,建立VHDL文件,并输入设计程序。保存为DVF. (3)选择目标器件。Acex1k—EP1K100QC208-3。 (4)启动编译。 (5)建立仿真波形图。 (6)仿真测试和波形分析。 (7)引脚锁定编译。 (8)编程下载。 (9)硬件测试 5.实验内容及实验数据记录 在实验系统上硬件验证例5-20的功能。可选实验电路模式1(第一章图4);键2/键1负责输入8位预置数D(PIO7-PIO0);CLK由clock0输入,频率选65536Hz 或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。 6.实验数据处理与分析 1)实验代码 【例1】 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DVF IS PORT ( CLK : IN STD_LOGIC; D : IN STD_LOGIC_VECTOR(7 DOWNTO 0); FOUT : OUT STD_LOGIC ); END; ARCHITECTURE one OF DVF IS SIGNAL FULL : STD_LOGIC; BEGIN P_REG: PROCESS(CLK) VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN IF CLK'EVENT AND CLK = '1' THEN IF CNT8 = "11111111" THEN CNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8 FULL <= '1'; --同时使溢出标志信号FULL输出为高电平 ELSE CNT8 := CNT8 + 1; --否则继续作加1计数 FULL <= '0'; --且输出溢出标志信号FULL为低电平 END IF; END IF; END PROCESS P_REG ; P_DIV: PROCESS(FULL) VARIABLE CNT2 : STD_LOGIC; BEGIN IF FULL'EVENT AND FULL = '1' THEN CNT2 := NOT CNT2; --如果溢出标志信号FULL为高电平,D触发器输出取反

VHDL电子钟实验报告

期末大作业课程设计实验报告设计题目:基于VHDL电子钟的设计

目录 一、概述 (3) 1.1目的 (3) 1.2课程设计的要求和功能 (3) 二、总结 (3) 2.1课程设计进行过程及步骤 (3) 2.2所遇到的问题,如何解决问题 (15) 2.3体会收获以及建议 (15) 3.4参考资料(书,网络资料) (15) 三、教师评语 (16) 四、成绩 (16)

一、概述 1.1目的 1. 基于CPLD系统模块板,设计一个电子钟。来熟悉CPLD的工作原理以及 对VHDL的使用。 2. 通过设计出一个电子钟具有校时功能,来巩固分频,键盘扫描,计数,动 态扫描等知识内容。 1.2课程设计的要求和功能 设计一个电子钟,能进行正常的时分秒计时功能,分别有六个数码管显示24小时,60分钟,60秒的计数器显示。 利用实验箱系统上的按键实现“校时”、“校分”功能: (1)按下“SA”键时,计时器快速递增,按24小时循环,进行时校正; (2)按下“SB”键时,计分器快速递增,按60分循环,进行分校正 (3)按下“SC”键时,秒清零,进行秒校正; 二、总结 2.1课程设计进行及步骤 1.设计提示 系统框图见下

2.系统结构设计描述(1)系统顶层文件 1.顶层原理图见下

2.各个模块的解释 (1)五个输入量clk50MHz,SA,SB,SC,reset: 其中clk50MHz为总体系统提供时钟,并且经过分频来分别对电子 钟模块提供时钟,产生一秒一秒的进位信号,对显示模块的计数器 提供时钟实现显示模块的扫描功能,对按键去抖动提供时钟,实现 键盘扫描的功能。SA,SB,SC用来控制按键,实现按键控制,SA是 实现“时”加一,SB是实现“分”加一,SC是实现“秒”清零。 Reset是来控制按键功能的使能。 (2)按键功能模块 三个输入chos ,date0,date1的功能是:chos接受来自按键的信号, 若按键按下,则将date0的内容,也就是通过按键产生的脉冲来控 制电子钟进行加一,若按键没有按下,则将“秒”分频信号接入电 子钟的clk计数输入端,通过时钟脉冲来控制电子钟。 (3)电子钟计数模块 有5个输入ci,nreset,load,clk,d[7..0],作用分别是ci是使能端,直 接接高电位,nreset是复位,load和d[7..0]是用来置数的,clk提供 计数时钟,也就是一秒一个脉冲。 输出端有三个,co是进位功能,只有“秒”和“分”模块有效, qh[3..0],ql[3..0]是分位的数字输出端,一个是十位,一个是个位。

VHDL实验报告一2选1多路选择器

实验一 实验目的: 熟悉quartus的vhdl文本设计流程全过程,学习简单的组合电路的设计,多层次的电路设计,仿真和硬件测试 二、实验内容 内容(一)用vhdl语言设计2选1多路选择器 参考例3-1程序设计如下: library ieee; use mux21a is port (a,b,s:in bit; y: out bit); end entity mux21a; architecture one of mux21a is begin y<=a when s='0' else b; end architecture one 全程编译后软件提示0错误,3警告,可以继续下面仿真操作。 程序分析: 这是一个2选1多路选择器,a和b分别为两个数字输入端的端口名,s为通道选择控制信号输入端的端口名,y为输出端的端口名。 时序仿真及分析: 时序仿真输入图: 时序仿真输出图: 时序分析: 由上面两图可以得知:当s=0时,y口输出a,当s=1时,y口输出b 下载和硬件测试: 引脚锁定图: 程序下载完成后,选择实验电路模式5,通过短路帽选择clock0接256Hz 信号,clock2接8Hz信号。通过键一控制s,当键一进行切换时,明显能听到扬声器发出两种不同音调的声音。 实验内容(二)双二选一多路选择器设计

程序设计: library ieee; use mux21a is port (a,b,s:in bit; y: out bit); end entity mux21a; architecture one of mux21a is begin y<=a when s='0' else b; end architecture one; entity muxk is port (a1,a2,a3,s0,s1:in bit; outy:out bit); end entity muxk; architecture bhv of muxk is component mux21a port (a,b,s:in bit; y:out bit); end component; signal tmp: bit; begin u1:mux21a port map(a=>a2,b=>a3,s=>s0,y=>tmp); u2:mux21a port map(a=>a1,b=>tmp,s=>s1,y=>outy); end architecture bhv; 全程编译后软件提示0错误,2警告 程序分析: 这是一个双2选1多路选择器,a1、a2和a3分别为两个数字输入端的端口名,s0、s1为通道选择控制信号输入端的端口名,outy为输出端的端口名。实体mux21a是一个2选一选通电路,实体muxk是元件的例化,其作用是将两个mux21a组合成一个3选1多路选择器。 时序仿真及分析: 时序仿真输入图 时序仿真输出图 时序分析: 从仿真出来的结果,我们不难发现,s0和s1做为a1、a2、a3的选通控制信号。当s0=0.、s1=0时,outy输出a1;当s0=0.、s1=1时,outy输出a2;当s0=1.、s1=0时,outy输出a1;当s1=1.、s2=1时,outy输出a3; 下载和硬件测试: 引脚锁定图

(最新版)VHDL,CPU实验报告

计算机硬件课程设计 设计报告 学号:姓名:成绩: 学号:姓名:成绩: 东南大学计算机科学与工程系 二0 10 年12 月 目录 1.实验名称 (2)

2.实验目的 (2) 3.实验任务……………………………………………………………………… 2. 4.主要特色 (2) 5. 设计方案 (3) 5.1 数据格式和指令系统 (3) 5.2本设计的体系结构 (5) 5.测试结果与性能分析 (20) 6.课程设计总结 (22) 1.实验名称 微程序控制的模型计算机的设计与调试 2.实验目的 1.综合运用“计算机组成原理”和“数字电路”等课程的知识,通过对模型机的设计和调试,加深对计算机各部件工作原理的认识。 2.进一步掌握运用EDA技术进行设计和调试的工作方法。 3.掌握计算机硬件设计的思想,方法及工作过程,进一步培养工程设计的能力。 3.实验任务 1.自行规定数据格式和指令格式,在所提供的条件范围内设计一台由微程序控制(也可以用其他方式如组合逻辑等)的模型计算机。 2.根据设计方案,将模型机调试成功。 3.整理出相关文件。 (1)数据格式和指令系统。 (2)总框图。 (3)详细电路图或有关电路的VHDL语言源程序。 (4)微指令格式和微程序。 (5)调试过程和测试结果(包括测试程序)。

4.主要特色 1.增加了ROM的宽度,改为24位,使得可以在一个时钟内读出24位的微指令。 2.对电路进行了合理的修改,具体增加了SRC. DEST结合IR0,IR2,IR1,IR3到RA,RB的译码电路。 3.SRC. DEST结合IR0,IR2,IR1,IR3到WA,WB的译码电路,实现了对于源操作数的写入。 4.由微指令到8CPU中对应的端口译码。 5.重新构造了ROM,RAM,修改了时序电路,调整了M1,M2,M3,M4的时序。 6.除了书上要求的指令微程序以外,还对微程序进行了扩充,加了逻辑左移指令:SAL(每次执行左移一位),以及乘法指令:MUL(8位与8位数据相乘,得到16位数据高8位写回源操作数,低8位写回目的操作数) 7.修改了M1,M2,M3,M4的连接电路。 8.通过用软件模拟的方法,成功的构造了一个简单的CPU,更加熟练的学会使用EDA技术。试验中结合了数字实验和组成原理部分内容。 9.用简单易读的方式排列24位控制信号,使得操作更加简便,如图所示

VHDL实验报告

VHDL实验报告 5080309563 李斌 实验三.4位可逆计数器,4位可逆二进制代码-格雷码转换器设计 [设计思路及步骤]: 一.需求: 设计4位可逆计数器,及4位可逆二进制代码-格雷码转换器,并仿真,下载。 [具体要求] 1.4位可逆计数器 a)使用CLOCK_50作为输入时钟,其频率为50MHz(对于频率大于50Hz的闪烁, 人眼会看到连续的光),因而,对其进行225的分频后,再用于时钟控制。(可 利用实验一) b)使用拨码开关SW17作为模式控制,置‘1’时为加法计数器,置‘0’时为减 法计数器,同时使用LEDR17显示SW17的值。 c)使用KEY3作为异步复位开关(按下时为0,不按为1),当为加法计数器时, 置“0000”,当为减法计数器时,置“1111”。 d)使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高 位,LEDR0为低位。 2.4位可逆二进制代码――格雷码转换器 a)使用拨码开关SW17作为模式控制,置‘1’时为二进制代码―>格雷码转换, 置‘0’时为格雷码―>二进制代码,同时使用LEDR17显示SW17的值。 b)使用拨码开关SW3, SW2, SW1, SW0作为输入的被转换数,SW3为高位,SW0 为低位。 使用LEDR3,LEDR2,LEDR1,LEDR0作为转换后的输出结果显示,LEDR3为高位,LEDR0为低位。 二.变量解释: 4位可逆计数器: 1.clk为时钟输入,clkout为分频后的时钟,cnt为分频计数,ctr为SW17模式 控制,rst为KEY3异步复位开关,tem为输出结果的中间变量; 2.本实验的时钟输入为50MHz,定义为clk,为此设计时需要将其分频为50Hz, 需225分频,因此,代码中,需要有一个cnt作为一个225计数器,同时,定义 分频后的时钟为clkout; 3.建立process,检测key是否为0,为0则复位。否则,检测clkout,触发上升 沿则检测模式控制,对tem加1或减1,同时应检测是否达到最大或最小值, 达到最值则直接返回到最初值,否则继续操作; 4.最后,转换tem的值为相应的4位二进制数,并于LED上反映出来。 四位可逆二进制代码-格雷码转换器: 1.检测模式,进行相应的操作; 2.ctr为0则格雷码转换成二进制码;ctr为1则为二进制码转换为格雷码 [源代码]: 1)4位可逆计数器: library ieee; use ieee.std_logic_1164.all; entity cnt is

相关主题
文本预览
相关文档 最新文档