当前位置:文档之家› 计算机系统结构课程考核说明

计算机系统结构课程考核说明

计算机系统结构课程考核说明
计算机系统结构课程考核说明

计算机系统结构课程考核说明

一、考核说明

1.考核对象:

电大计算机科学与技术专业本科生。

2.命题依据:

根据电大计算机科学与技术专业(本科)《计算机系统结构》课的教学大纲的要求。

3.考核要求:

本课程面向电大计算机科学与技术专业本科生,具体考核要求分为3个层次(详见考核具体要求):

掌握;

理解;

了解。

4.考核方式:

采用形成性考核和期末终结性考核相结合的方式,即期末考试与平时成绩相结合的考核方式,最终总成绩达到或超过60分为通过;平时成绩(作业、教学实验)占20%;期末考试占80%,分别以百分制计分。期末考试为闭卷笔试考试,时间120分钟。

二、考核内容与要求

以电大计算机科学与技术专业(本科)《计算机系统结构》课的教学大纲的要求为准。教材每一章节均有教学要求与导学部分,每章后又有作业与思考题。.考核内容与要求均以教材中的具体规定为准,

在下面给出的试题类型与参考答案将能比较充分地体珥出来。

三、考核具体要求

第一章计算机系统结构的基本概念

掌握:计算机系统层次结构,系统结构组成、实现的定义以及三者的关系,透明性,Amdahl定律,CPU性能公式,局部性原理,MIPS和MFLOPS定义。

理解:系统结构分类,冯·诺依曼计算机特征。

了解:计算机系统结构的发展,价格、应用、VLSI核算法对系统结构的影响。

第二章指令系统

掌握:RISC的定义与特点,减少指令平均执行周期数的方法。

理解:延时转移技术指令取消技术,重叠寄存器窗口技术。

了解:指令流调整技术,RISC优化编译技术。

第三章存储系统

掌握:存储系统的定义,存储系统的性能参数,地址映象与变换方法,替换算法,Cache存储器工作原理,虚拟存储器工作原理。

理解;低位交叉访问存储器,高位交叉访问存储器。

了解:Cache分析.Cache写操作,分段存储系统,缓冲对虚拟存储系统性能的影响,替换算法实现方法。

第四章标量处理机

掌握:流水线工作原理,时空图,线性流水线,输人任务连续情况下单功能、线性流水线的吞吐率、。加速比和效率,超标量处理机工作原理和性能分析。

理解:输人任务不连续情况下单功能、线性流水线的性能分析。

了解:超标量、超流水、超标量超流水处理机的典型结构,流水线计算机的存储器结构,流水线中断的控制方法,流水线技术的开发。

第五章向量处理机

掌握:向量处理,三种向量处理方式,存储器—存储器结构,寄存器—寄存器结构。

理解:链接技术。

了解:向量处理机的数据结构和存取模式,协处理器,向量处理机实例。

第六章互连网络

掌握:互连网络的作用,静态网络,动态网络。

理解:存储转发寻径,虫蚀寻径,线路开关寻径,虚拟直通寻径。

了解:互连函数,虚拟通道,单播,选播,广播,会议,通道流量,网络通信延时。

第七章并行处理机和多处理机

掌握:共享存储多处理机,分布存储多处理机,多处理机系统的特点,SIMD计算机基本结构及其优缺点。

理解:SIMD计算机的实例,多处理机实例。

了解:SIMD计算机的模型,并行算法,虚拟共享存储器,多处理机性能模型,多处理机的Cache一致性,监听协议,基于目录的协,MPP,SMP,机群系统。

四、计算机系统结构试题类型及解答

一、名词解释(15分,每题3分)

1.计算机系统结构

2.计算机组成

3.计算机实现

4.Amdahl定律

5. CPI

二、选择题(45分,每题3分)

1.MIMD是指()

A、单指令流单数据流

B、单指令流多数据流

C、多指令流单数据流

D、多指令流多数据流

2.下列那种存储设备需要编址?

A. 通用寄存器

B. 主存储器

C. 输入输出设备

D. 堆栈

3.对计算机系统结构,下列()是透明的。

A、浮点数据表示

B、程序性中断

C、访问方式保护

D、阵列运算部件

4.下列()兼容方式对系列机来说是必须做到的。

A、向前兼容

B、向后兼容

C、向上兼容

D、向下兼容

5.假设一条指令的执行过程可以分为“取指令”、“分析”和“执行”三段,每一段的执行时间分别为t?、t?

2和t?3,顺序执行n条指令至少需要花费的时间为:

A. t

3

n?

B. t

2

n?

C. t

n?

6

D. t

n?

6.以下关于程序控制输入输出方式的说法哪些是不正确的?

A)灵活性不够好B)外围设备与CPU是异步工作关系

C)用于连接高速外围设备D)处理机可以采用轮流循环测试方式

7.一个二级虚拟存储器,CPU访问主存和辅存的平均时间分别为1μs和1ms.经实测,此虚存平均访问时间为100μs.下面那种方法不能够使得该平均值减小?

A)选择合适的页面大小

B)采用较好的页面替换算法

C)增加主存的容量

D)增加辅存的容量

8.下述几个需要解决的问题中,那个是向量处理机所最需关心的?

A.计算机指令的优化技术

B.设计满足运算器带宽要求的存储器

C.如何提高存储器的利用率,增加存储器系统的容量

D.纵横处理方式的划分问题

9.随着计算机技术的不断发展和对指令系统的合理性的研究,精简指令集计算机(RISC)出现并且逐步取代CISC的重要位置。下面所述不是CISC的主要缺点的是:

A.20%与80%规律

B.VLSI技术的不断发展引起的一系列问题

C.软硬件功能分配的问题

D.由于指令众多带来的编码困难

10.下面说法那种正确?

A.采用Huffman编码一定不会比其他编码方法效率低

B.采用RISC一定比CISC的效率高

C.在任何情况下,增加标志符一定减少程序所占用的存储空间

D.以上的说法都不正确

11.SIMD是指()

A、单指令流单数据流

B、单指令流多数据流

C、多指令流单数据流

D、多指令流多数据流

12.下列那种存储设备不需要编址?

A. 通用寄存器

B. 主存储器

C. 输入输出设备

D. 堆栈

13.按照计算机系统层次结构,算术运算、逻辑运算和移位等指令应属于()级机器语言。

A、传统机器语言机器

B、操作系统机器

C 、汇编语言机器

D 、高级语言机器

14.早期的计算机系统只有定点数据表示,因此硬件结构可以很简单。但是这样的系统有明显的缺点,下面哪一个不是它的缺点: A .数据表示范围小

B .单个需要的计算时钟周期多

C .编程困难

D .存储单元的利用率很低

15.下图所示的时空图对应的处理机为:

A. 标量处理机

B. 超标量处理机

C. 超流水处理机

D. 超标量超流水处理机

三. 计算题(40分)

1. (10分). 一条流水线连接图如下所示,画出200条指令连续通过该流水线的

时空图,并计算该流水线的加速比。(其中ns 1t =?)

输出

t=Δt

t=Δ3t

t=Δt

t=Δt

时钟周期 指

令 1 2 3 4

2. (10分). 主频为400MHz 的微处理机,平均每条指令的执行时间为两个机器

周期,每个机器周期由两个时钟脉冲组成,则当存储器为“零等待”时,机器运行速度为多少MIPS 。

3. (20分).在下列不同类型的处理机上做向量运算:D=(A+B)*C ,向量长度均为

4,每个周期的时间为10ns 。分别计算所需的最短时间,写出简要计算过程。 1)(5分).SISD 单处理机,有一个通用运算部件,每3个周期做完一次加法,或每4个周期做完一次乘法。

2)(5分).流水线处理机,有一条两功能静态流水线,加法经过其中的3段,乘法经过其中的4段,每段的延迟时间均为一个周期。

3)(10分).向量处理机,有独立的加法器和乘法器,加法器采用3段流水线,乘法器采用4段流水线,每段的延迟时间均为一个周期,采用向量链接方式工作。

试题答案

一.解释下列术语或简答以下问题(共45分,每小题3分) 1.计算机系统结构

【答案】系统结构定义为由程序设计者所看到的一个计算机系统的属性,即概念性结构和功能特性,这里的程序设计者所看到的计算机属性是指为机器语言或编译程序设计者所看到的计算机属性,是硬件子系统的概念性结构及其功能特性,它是计算机系统的软、硬件的界面。

2.计算机组成

【答案】计算机组成是计算机系统结构的逻辑实现,包括机器内部的数据流和控制流的组成以及逻辑设计等。

3.计算机实现

【答案】计算机实现是指计算机组成的物理实现。

4.Amdahl 定律

【答案】Amdahl 定律:系统中某一部件由于采用某种更快的执行方式后整个系统性能的提高与这种执行方式的使用频率或占总执行时间的比例有关。 Fe=(改进前可改进部分占用的时间)/(改进前整个任务的执行时间) Se=(改进前可改进部分的执行时间)/(改进后改进部分的执行时间) 则,改进后整个系统加速比为

e

e e n S F F s /)1(1

+-=

5. CPI

【答案】每条指令平均时钟周期数

CPI=CPU时钟周期数/IC(指令的条数)=∑

=

n

i

i

i IC

I

CPI

1

)

*

(

其中i I=指令i在程序中执行的次数。

二、选择题(45分,每题3分)

1. D

2. A,B,C

3.D

4. B

5. C

6. A,C

7. D

8. B

9. D

10. A

11. B

12. D

13.A

14.B

15. C

三.计算题(40分)

1.

解:

顺序执行的时间为T0=6×200=1200ns

采用流水方式执行的时间为T1=3×200+6-1=605ns 加速比S=

2.

【答案】100

3. 解:

1)10ns×4×(3+4)= 280ns,4次加法和4次乘法串行执行时间(ns)

1 2 3 4 5 6

2)10ns×(6+7)= 130ns,流水线执行4次加法需要6个周期,流水线执行4次乘法需要7个周期

3)10ns×(7+3)= 100ns,得到第1个结果需要7个周期,另外3个结果需要3个周期

计算机系统结构期末复习指导

第一章计算机系统结构的基本概念

1.系统结构的两种定义

定义1:Amdahl于1964年在推出IBM360系列计算机时提出:程序员所看到的计算机系统的属性,即概念性结构和功能特性。指机器语言的程序员编写出能够在机器上正确运行的程序所必须了解到的功能特性(指令系统及其执行模式);数据表示(硬件能够直接认别和处理的数据类型和格式);寻址方式(最小寻址单位、寻址方式的种类和地址运算等);寄存器组织(操作数寄存器、变址寄存器、控制寄存器及专用寄存器的定义、数量和使用规则等);指令系统(机器指令的操作类型、格式,指令间的排序和控制机制)等。

定义2:计算机系统结构主要研究软硬件功能分配和对软硬件界面的确定。计算机系统由软件、硬件和固件组成,它们在功能上是同等的。同一种功能可以用硬件实现,也可以用软件或固件实现。不同的组成只是性能和价格不同。

2.计算机组成是指计算机系统结构的逻辑实现,主要包括:确定数据通路的宽度;确定各种操作对功能部件的共享程度;确定专用的功能部件;确定功能部件的并行度;设计缓冲和排队策略;设计控制机构;确定采用何种可靠性技术。

3.计算机实现是指计算机组成的物理实现,包括:处理机、主存储器等部件的物理结构;器件的集成度和速度;专用器件的设计;器件、模块、插件、底版的划分与连接;信号传输技术;电源、冷却及装配技术;相关制造工艺及技术等。

4.计算机系统结构、计算机组成和计算机实现是三个不同的概念,但随着技术、器件和应用的发展,三者之间的界限越来越模糊。

5.透明性,本来存在的事物或属性,从某种角度看似乎不存在,例如:浮点数表示、乘法指令对高级语言程序员、应用程序员透明对汇编语言程序员、机器语言程序员不透明。例如:数据总线宽度、微程序对汇编语言程序员、机器语言程序员透明,对硬件设计者、训算机维修人员不透明。

6.Amdahl定律,系统中某一部件由于采用某种更快的执行方式后整个系统性能的提高与这种执行方式的使用频率或占总执行时间的比例有关。

7.CPI:每条指令的平均时钟周期数。

8.访问的局部性原理:程序往往重复使用它刚刚使用过的数据和指令。实验表明,一个程序用90%的执行时间去执行仅占10%的程序代码。局部性的实质是:根据程序的最近情况,可以较精确地预测出最近的将来将要用到哪些指令和数据。局部性分时间上的局部性和空间上的局部性两种。时间上的局部性是指最近访问过的代码是不久将被访问的代码。空间上的局部性是指那些地址上相邻近的代码可能会被一起访问。存储器体系的构成就是以访问的局部性原理为基础的。

9.MIPS 定义。MIPS 表示每秒百万指令条数。对于一个给定的程序,它定义为:

MIPS=

6

6

10

CPI 10

??时钟频率=

执行时间指令条数

10.MFLOPS 定义。MFLOPS 即每秒百万次浮点操作次数,它定义为: MFLOPS=6

10

?执行时间程序中的浮点操作次数

第二章指令系统

1.指令系统的优化设计有两个截然相反的方向

(1)复杂指令系统计算机CISC(Complex lnstruction Set Computer)

1)增强指令功能,设置功能复杂的指令 2)面向目标代码、面向高级语言、面向操作系统 3)用一条指令代替一串指令

(2)精简指令系统计算机RISC(Reduced Instruction Set Computer) 1)只保留功能简单的指令

2)功能较复杂的指令用子程序来实现 2.RISC 与CISC 各自的特点和相互比较 3.RISC 的关键技术

(1)旁路技术

(2)延迟转移技术

(3)指令取消技术

(4)重叠寄存器窗口技术 : (5)指令流调整技术

(6)以硬件为主固件为辅

第三章存储系统

一、基本概念

1.存储系统的定义 存储系统是指两个或两个以上速度、容量和价格各不相同的存储器用硬件、软件、或软件与硬件相结合的方法连接起来而成的系统。这个系统对应用程序员透明,并且,从应用程序员看它是一个存储器,这个存储器的速度接近速度最快的那个存储器,存储容量与容量最大的那个存储器相等或接近,单位容量的价格接近最便宜的那个存储器。程序访问的局部性原理是层次存储系统构成的基础。 2.存储器的主要性能参数 (1)存取时间

从CPU 到第i 层存储器的往返时间。 (2)存储器容量

第i 层的字节或字的数量。 (3)每字节成本 (4)传输带宽

相邻层之间传输信息的速率。 (5)传输单位

相邻两层之间数据传输的粒度(如字、块、页等)。

3.存储器的主要性能参数

(1)存取时间

从CPU到第i层存储器的往返时间。

(2)存储器容量

第i层的字节或字的数量。

(3)每字节成本

(4)传输带宽

相邻层之间传输信息的速率。

(5)传输单位

相邻两层之间数据传输的粒度(如字、块、页等)。

4.层次存储器性质

(1)包含性

内层的信息必然包含于其外层。所有的信息最初放在最外层。在处理过程中,其子集被一步步复制到内层。

(2)一致性

同一个信息项在后继存储器层次上的副本是一致的。

如果在缓存中的一个字被修改过,那么在所有高层上该字的副本

也必须立即或最后加以修改。

维护一致性的两种策略:写直达和写回。前者指如果在内层中修

·改了一个字,在外层中必须立即加以修改。而后者的处理方法是:在

外层中的修改延迟到内层中被修改的字被替换时才进行。

(3)局部性

时间局部性:

最近的访问项很可能在不久的将来再次被访问。

空间局部性:

一个进程所访问的各项其地址彼此很近。

顺序局部性:

在典型程序中,除转移指令产生不按次序的转移外,指令都是顺序进行的。

5.层次存储系统的设计的目的是使有效存取时间接近于最内层存储器的存取时间,使总体的平均每字成本接近于最外层存储器的每字成本,容量接近于最大存储器的容量。

本章主要论述两个二级层次存储系统cache和虚拟存储器。要解决的问题主要有:

(1)块/页的定位问题

(2)替换问题

(3)一致性问题(写无效和写更新)

6.虚拟存储器由主存储器和联机工作的外部存储器共同组成。虚拟存储器有段式、页式、段页式等地址映像与变换方法。加快内部地址变换的技术主要有目录表、快慢表、散列函数等。页面替换算法主要有RAND、FIFO、LRU、LFU和OPT等算法。

7.Cache的地址映像与变换方法有全相联、直接相联、组相联和段相联几种。Cache的替换算法有轮转法、FIFO、LRU、LFU、比较对法和堆栈法。Cache的实现全部是由硬件完成的。

8.影响主存命中率的主要因素有

(1)程序在执行过程中的页地址流分布情况

(2)所采用的页面替换算法

(3)页面大小

(4)主存储器的容量 (5)所采用的页面调度方法

9.Cache 的命中率主要与如下几个因素有关 (1)程序在执行过程中的地址流分布情况

(2)当发生Cache 块失效时,所采用的替换算法

(3)Cache 的容量

(4)在组相联映象方式中,块的大小和分组的数目

(5)所采用的Cache 预取算法等。

10.解决Cache 与主存的不一致性问题,首先要选择合适的 Cache 更新算法。一般有两种Cache 更新算法,写直达法和写回法。

第四章输入输出系统

一、基本概念 1.输入输出系统

(1)在计算机系统中,通常把处理机和主存储器之外的部分称为输入输出系统,它包括输入输出设备、输入输出接口和输入输出软件等

(2)输入输出系统的特点是异步性、实时性和设备无关性。

(3)基本的输入输出方式有三种:程序控制输入输出方式、直接存储器访问方式(DMA)和中断输入输出方式。

(4)程序控制输入输出方式完全受CPU 控制,数据的输入输出都要经过CPU ,用于连接低速外围设备。

(5)直接存储器访问方式(DMA)主要用于连接高速外围设备,它使得存储器既可被CPU 访问,也可被外围设备访问。目前使用的DMA 方式主要有三种:周期窃取方式、直接存取方式和数据块传送方式。

(6)中断输入输出方式使得CPU 与外围设备可以并行工作,并可以处理例外事件。中断方式常用于连接低速外围设备。 2.通道处理机

(1)在大型计算机系统中,为把对外围设备的管理工作从CPU 中分离出来,普遍采用通道处理机技术。采用通道方式组织的输入输出系统,多采用主机一通道一设备控制器IO 设备四级连接方式。通道通过执行通道程序实现对IO 系统的统一管理和控制。在CPU 启动通道后,通道自动地去内存取出通道指令并执行指令。直到数据交换过程结束向CPU 发出中断请求,CPU 才进行通道结束处理工作。

(2)通道可分为三类:字节多路通道、选择通道和数组多路通道。字节多路通道常用于连接低速或中速的设备,选择通道和数据多路通道用于连接高速设备。

(3)对于以上的三种通道,当每个通道上连接有P 台外围设备,每台设备都传送n 个字节时,总共所需的时间分别为:

n

P T n T T n P T n T T n P T T T D s BLOCK D s SELECT

D s BYT

E ??+=??+=??+=)/()/()(

其中T s 指设备选择时间,T D 指传送一个字节所需的时间。

(4)通道的流量是指一个通道在数据传送期间内,单位时间内能够传送的最大数据量。一个通道在满负荷工作下的流量称为通道最大流量。三种通道的最大流量计算公式如下:

)

//(1])//[()()//(1])//[()()/(1])/[()(D S D S BLOCK

MAX

D S D S SELECT MAX D S D S BYT

E MAX T k T n P T n T n P f T n T n P T n T n P f T T n P T T n P f +=??+?=+=??+?=+=??+?=??? (5)字节多路通道的实际流量是指连接在这个通道上的所有设备的数据传输率之和。而选择通道和数据多路通道的实际流量是指连接在这个通道上的所有设备数据传输率的最大值。

fi

f fi f fi

f P

i BLOCK

P

i SELECT P

i BYTE 1

11

max max ======∑

3.输入输出处理机

。在大型、巨型计算机系统中,常采用输入输出处理机来分担中央处理机的输入输出任务。输入输出处理机是一台独立的处理机,具有一定的运算功能。它具有自己的存储器,不必通过主存储器就能完成与外围设备的数据交换,大大提高了系统性能。

第五章标量处理机

一、基本概念

1.先行控制技术(100k-ahead)·

先行控制技术的关键是缓冲和预处理技术。指令的执行过程可以,被分解为相互独立的几个阶段(取指令,分析指令,执行指令),采用重叠执行方式,使每个功能段相对独立地运行,就能提高运行速度。为了平衡给功能段之间的由于速度不确定带来的速度差异,在各功能段之间设置了缓冲栈(先行指令缓冲栈,先行操作栈,先行读数栈,后行写数栈),同时为了解决数据相关和控制相关带来的停顿问题,使用了不同的预处理技术。对数据相关和控制相关的分析和解决办法是整个预处理技术的核心。

2.流水线的原理、特点及其分类

流水线方式是把一个重复的过程分解为若干个子过程,每个子过程可以和其他的子过程同时进行,即所谓的时间并行性。流水线的工作可以用时空图来描述。流水线有以下的特征:为了提高流水线的效率,应该尽可能的为流水线提供连续的任务;流水线由很多相联的功 能段组成,为了平衡功能段之间的速度差,功能段之间需要设置缓冲寄存器;流水线中每个功能段的时间应该尽量相等,以免形成“瓶颈”,否则应该对功能段再划分或者采用多个功能部件;流水线需要装入和排空时间,只有在流水线完全充满时,它才能充分发挥效率。根据不同的角度,流水线可以被划分成以下的类别:线性流水线,非线性流水线,指令流水线。运算操作流水线,宏流水线;单功能流水线,多功能流水线;静态流水线,动态流水线等。 3.流水线韵性能分析

衡量流水线性能的主要指标有吞吐率,加速比,效率。流水线的吞吐率TP 定义为单位时间内流水线所完成的任务数量或者输出的结果数量,基本公式如下:

k

T n TP =

n 为任务数,T k 是完成n 个任务所用的时间。

流水线的加速比S 指完成一批任务,不使用流水线所用的时间与使用流水线所用的时

间之比称为流水线的加速比:

k

T T S 0

其中T 0指顺序执行所用的时间,n 时使用流水线的执行时间。

流水线的效率E 是指流水线的设备利用率,在时空图上,流水线的效率定义为n 任务占用的时空区与k 功能段总的时空区之比。

计算机系统结构模拟试题(5)

计算机组成原理模拟试题(2) 一、填空题:04分,每题02分 1、X=-0.1001 [X]原=_____________ [X]补=_____________[-X]补=_____________ Y=0.0101 [Y]原=_____________ [Y]补=_____________ [-Y]补=_____________ [X+Y]补=_____________ 2、对西文输出的字符设备,在计算机的内存储器中存储的是字符数据的每个字符的_____________码,输出(包括显示或打印)的则是每个字符的_____________,设备中的字符发生器的主要功能是解决从字符的_____________码和字符的_____________间的对应关系。 二、单选题:20分,每题02分 3、32 x 32点阵汉字的机内编码需要。 A: 16个字节 B: 32个字节 C: 32×2个字节 D: 32×4个字节 4、某机字长32位,采用原码定点整数表示,符号位为1位,数值位为31位,则可表示的最大正整数为,最小负整数为。 A: B: C: D: 5、在定点二进制运算器中,减法运算一般通过来实现。 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 6、在浮点数运算中产生溢出的原因是。 A: 运算过程中最高位产生了进位或借位 B: 参加运算的操作数超出了机器的表示范围 C: 运算的结果的阶码超出了机器的表示范围 D: 寄存器的位数太少,不得不舍弃最低有效位 7、无论如何划分计算机的功能部件,控制器部件中至少含有。 A: PC、IP B: PC、IR C: IR、IP D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 B: 地址线为32根,数据线为16根

计算机体系结构实验报告二

实验二结构相关 一、实验目得: 通过本实验,加深对结构相关得理解,了解结构相关对CPU性能得影响。 二、实验内容: 1、用WinDLX模拟器运行程序structure_d、s 。 2、通过模拟,找出存在结构相关得指令对以及导致结构相关得部件。 3、记录由结构相关引起得暂停时钟周期数,计算暂停时钟周期数占总执行 周期数得百分比。 4、论述结构相关对CPU性能得影响,讨论解决结构相关得方法。 三、实验程序structure_d、s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; < A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit < this is a ment !! A: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: 、double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 四、实验过程 打开软件,load structure_d、s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(Rstall 数据相关Stall 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面得数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关得部件:译码部件。

北邮高级计算机系统结构实验二三四五

实验二指令流水线相关性分析 ·实验目的 通过使用WINDLX模拟器,对程序中的三种相关现象进行观察,并对使用专用通路,增加运算部件等技术对性能的影响进行考察,加深对流水线和RISC处理器的特点的理解。 ·实验原理: 指令流水线中主要有结构相关、数据相关、控制相关。相关影响流水线性能。·实验步骤 一.使用WinDLX模拟器,对做如下分析: (1)观察程序中出现的数据/控制/结构相关。指出程序中出现上述现象的指令组合。 (2)考察增加浮点运算部件对性能的影响。 (3)考察增加forward部件对性能的影响。 (4)观察转移指令在转移成功和转移不成功时候的流水线开销。 ·实验过程 一.使用WinDLX模拟器,对做如下分析: } 浮点加、乘、除部件都设置为1,浮点数运算部件的延时都设置为4,如图1: 图1 初始设置 将和加载至WinDLX中,如图2示。

图2 加载程序 1.观察程序中出现的数据/控制/结构相关;指出程序中出现上述现象的指令组合。 1)数据相关 点击F7,使程序单步执行,当出现R-Stall时停止,运行过程中出现下图3所示,输入整数6。 图3 输入整数6 @ 打开Clock Diagram,可以清楚的看到指令执行的流水线如图4所示。 图4 指令流水线 双击第一次出现R-Stall的指令行,如图5所示。

图5 指令详细信息 对以上出现的情况分析如下: 程序发生了数据相关,R-Stall(R-暂停)表示引起暂停的原因是RAW。 lbu r3,0×0(r2) 要在WB周期写回r3中的数据;而下一条指令 & seqi r5,r3,0×a 要在intEX周期中读取r3中的数据。 上述过程发生了WR冲突,即写读相关。为了避免此类冲突, seq r5,r4,0×a的intEX指令延迟了一个周期进行。 由此,相关指令为: 2)控制相关 由图6可以看出,在第4时钟周期:第一条指令处于MEM段,第二条命令处于intEX段,第三条指令出于aborted状态,第四条命令处于IF段。 图 6 指令流水线 }

计算机系统结构模拟试题5

计算机组成原理模拟试题(2)一、填空题:04分,每题02分 =_____________ [X]补=_____________补-X][1、 X=-0.1001 [X]原 =_____________ =_____________ =_____________ [-Y]补Y]原=_____________ []补 Y=0.0101 [Y ]补=_____________ [X+Y对西文输出的字符设备,在计算机的内存储器中存储的是 字符数据的每个字符的、 2,设备中的字符_____________(包括显示或打印)的则是每个字 符的码,输出_____________间的对应关_____________发生器的主要功能是解决从字符的 _____________码和字符的系。分二、单选题:20分,每题02 。3、 32 x 32点阵汉 字的机内编码需要 A: 16个字节 B: 32个字节 2个字节 C: 32×个字节 D: 32×4位,则可表示位,数值位为314、某机字长32位,采 用原码定点整数表示,符号位为1 。,最小负整数为的最大正整数为 A: B: C: D: 来实现。 5、在定点二进制运算器中,减法运算一般通过 A: 原码运算的二进制减法器 B: 补码运算的二进制减法器 C: 补码运算的十进制加法器 D: 补码运算的二进制加法器 。 6、在浮点数运算中产生溢出的原因是运算过程中最高位产生了进位或借位 A: 参加运算的操作数超出了机器的表示范围B: C: 运算的结果的阶码超出了机器的表示范围寄存器的位数太少,不得不舍弃最低有效位 D: 。 7、无论如何划分计算机的功能部件,控制器部件中至少含有 IP A: PC、 IR B: PC、、IP C: IR D: AR、IP 8、某存储器容量为32K×16位,则 A: 地址线为16根,数据线为32根 根16根,数据线为32地址线为B: C: 地址线为15根,数据线为16根 D: 地址线为15根,数据线为32根 9、在统一编址方式下,存储单元和I/O设备是靠指令中的来区分的。

计算机组织与体系结构实验报告

《计算机组织与体系结构》 实验报告 学号: XXX 姓名:XXX 班级:XXX 指导教师:XXX 时间: 2013年01月 中国矿业大学计算机学院

目录 一基本运算器实验 (2) 1、实验目的 (2) 2、实验设备 (2) 3、实验原理 (2) 4、实验步骤 (3) 5、实验结果 (5) 5、实验体会 (5) 二微程序控制实验 (6) 1、实验目的 (6) 2、实验设备 (6) 3、实验原理 (6) 4、实验步骤 (12) 5、实验体会 (13) 三CPU与简单模型机设计实验 (13) 1、实验目的 (13) 2、实验设备 (13) 3、实验原理 (13) 4、实验步骤 (18) 5、实验流图 (21) 6、实验体会 (25)

实验一基本运算器实验 1. 实验目的 (1) 了解运算器的组成结构。 (2) 掌握运算器的工作原理。 2. 实验设备 PC机一台,TD-CMA实验系统一套。 3.实验原理 本实验的原理如下图所示: 运算器内部含有三个独立运算部件,分别为算术、逻辑和移位运算部件,要处理的数据存于暂存器A和暂存器B,三个部件同时接受来自A和B的数据(有些处理器体系结构把移位运算器放于算术和逻辑运算部件之前,如ARM),各部件对操作数进行何种运算由控制信号S3…S0和CN来决定,任何时候,多路选择开关只选择三部件中一个部件的结果作为ALU的输出。如果是影响进位的运算,还将置进位标志FC,在运算结果输出前,置ALU零标志。ALU中所有模块集成在一片FPGA中。 逻辑运算部件由逻辑门构成,较为简单,而后面又有专门的算术运算部件设计实验,在此对这两个部件不再赘述。移位运算采用的是桶形移位器,一般采用交叉开关矩阵来实现,交叉开关的原理如图1-1-2所示。图中显示的是一个4X4的矩阵(系统中是一个8X8的矩阵)。每一个输入都通过开关与一个输出相连,把沿对角线的开关导通,就可实现移位功能,即: (1) 对于逻辑左移或逻辑右移功能,将一条对角线的开关导通,这将所有的输入位与所使用的输出分别相连,而没有同任何输入相连的则输出连接0。 (2) 对于循环右移功能,右移对角线同互补的左移对角线一起激活。例如,在4位矩阵中使用‘右1’和‘左3’对角线来实现右循环1位。 (3) 对于未连接的输出位,移位时使用符号扩展或是0填充,具体由相应的指令控制。使用另外的逻辑进行移位总量译码和符号判别。

计算机系统结构模拟题

《计算机系统结构》模拟题 一.判断是非题,对的打√,错的打× 1.系列机是指由一个厂家生产的具有相同组成,但具有不同系统结构和实现的一系列不同型号的机器。 ( × ) 2.Cray 1向量处理机中,由于每个向量寄存器的长度为64,当实际需要处理的向量长度大于64时,它就不能够处理了。 ( × ) 3.按照Flynn 分类法,Illiac IV 阵列处理机是MIMD 计算机。 ( × ) 4.多级混洗交换网络是阻塞网络。 ( √ ) 二.填空题 1.多机系统的互连网络的通信模式可分为4种,其中,一对一的通信模式称为____单播_____模式,一对全体的通信模式为_____广播____模式,多对多的通信模式为____会议_____模式。 2.SIMD 计算机和流水线向量处理机都可以执行向量指令,前者采用___资源重复______并行性,后者采用___时间重叠______并行性。 3.系列机软件兼容必须做到___向后_____兼容,力争做到___向上_____兼容。 4.流水线消除瓶颈段的方法有____细分_____和____重复设置瓶颈段(可交换次序)_____2种方法。 5.设通道数据传送过程中,选择一次设备的时间为 s T ,传送一个字节的时间为D T ,则字 节多路通道最大流量等于____ D s T T 1 _____。 6.Illiac IV 8×8阵列中,网络直径为____7_____。 7.对堆栈型替换算法,增大分配给程序的___主存页面______,对第一级存储器的命中率就会单调____上升_____。 8.从网络的任何结点看,若网络拓扑结构都是相同的,则称这样的网络是___对称______网络。 三.单项选择题 1.在计算机系统层次结构中,从下层到上层,各层相对顺序正确的是( B )。 A.汇编语言机器级-操作系统机器级-高级语言机器级 B.微程序机器级-传统机器语言机器级-汇编语言机器级 C.传统机器语言机器级-高级语言机器级-汇编语言机器级 D.汇编语言机器级-应用语言机器级-高级语言机器级 2.Illiac IV 阵列处理机中,PE 之间所用的互连函数是( A )。

计算机系统结构总复习题

一、单项选择题 1、直接执行微指令的是( ) A.汇编程序B.编译程序 C.硬件D.微指令程序 2、对系统程序员不透明的应当是( )。 A.Cache存贮器B.系列机各档不同的数据通路宽度C.指令缓冲寄存器D.虚拟存贮器 3、对机器语言程序员透明的是( )。 A.中断字B.主存地址寄存器 C.通用寄存器D.条件码 4、计算机系统结构不包括( )。 A.主存速度B.机器工作状态 C.信息保护D.数据 5、从计算机系统结构上讲,机器语言程序员所看到的机器属性是( )。A.计算机软件所要完成的功能B.计算机硬件的全部组成 C.编程要用到的硬件组织D.计算机各部件的硬件实现 6、计算机组成设计不考虑( )。 A.专用部件设置B.功能部件的集成度 C.控制机构的组成D.缓冲技术 7、以下说法中,不正确的是( )。 软硬件功能是等效的,提高硬件功能的比例会: A.提高解题速度B.提高硬件利用率 C.提高硬件成本D.减少所需要的存贮器用量 8、在系统结构设计中,提高软件功能实现的比例会( )。 A.提高解题速度B.减少需要的存贮容量 C.提高系统的灵活性D.提高系统的性能价格比 9、下列说法中不正确的是( )。 A.软件设计费用比软件重复生产费用高 B.硬件功能只需实现一次,而软件功能可能要多次重复实现 C.硬件的生产费用比软件的生产费用高 D.硬件的设计费用比软件的设计费用低 10、在计算机系统设计中,比较好的方法是( )。 A.从上向下设计B.从下向上设计 C.从两头向中间设计D.从中间开始向上、向下设计11、"从中间开始"设计的"中间"目前多数是在( )。 A.传统机器语言级与操作系统机器级之间 B.传统机器语言级与微程序机器级之间 C.微程序机器级与汇编语言机器级之间 D.操作系统机器级与汇编语言机器级之间 12、系列机软件应做到( )。 A.向前兼容,并向上兼容 B.向后兼容,力争向上兼容

计算机系统结构模拟题

《计算机系统结构》模拟题(补)一.单项选择题 1. SIMD是指()。 A、单指令流单数据流 B、单指令流多数据流 C、多指令流单数据流 D、多指令流多数据流 2. 磁盘外部设备适合于连接到()。 A.字节多路通道B.数组多路通道或选择通道 C.选择通道或字节多路通道D.数组多路通道或字节多路通道 3. 下列()存储设备不需要编址。 A. 通用寄存器 B. 主存储器 C. 输入输出设备 D. 堆栈 4.多处理机的各自独立型操作系统( )。 A.要求管理程序不必是可再入的 B.适合于紧耦合多处理机 C.工作负荷较平衡 D.有较高的可靠性 5.输入输出系统硬件的功能对( )是透明的。 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 6. 实现汇编语言源程序变换成机器语言目标程序是由()。 A.编译程序解释B.编译程序翻译 C.汇编程序解释D.汇编程序翻译 7.全相联地址映象是指( )。 A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间是固定的,而组任何虚页可以装入任何实页位置 D.组间可任意装入,组是固定装入 8.( )属于MIMD系统结构。 A.各处理单元同时受同一个控制单元的管理 B.各处理单元同时接受同一个控制单元送来的指令 C.松耦合多处理机和多计算机 D.阵列处理机

9.设16个处理器编号分别为0,1,2,…,15用Cube3互联函数时,第10号处理机与第( ) 号处理机相联。 A.11 B.8 C.14 D.2 10.若输入流水线的指令既无局部性相关,也不存在全局性相关,则( )。 A.可获得高的吞吐率和效率 B.流水线的效率和吞吐率恶化 C.出现瓶颈 D.可靠性提高 11.流水线的技术指标不包括( )。 A.响应比 B.吞吐率 C.加速比 D.效率 12.指令优化编码方法,就编码的效率来讲,方法最好是()。 A. 固定长度编码 B. 扩展编码法 C. Huffman编码法 D. 以上编码都不是 13.RISC 计算机的指令系统集类型是 ( ) 。 A. 堆栈型 B. 累加器型 C. 寄存器—寄存器型 D. 寄存器 - 存储器型 14.相联存储器的访问方式是( )。 A.先进先出顺序访问B.按地址访问 C.无地址访问D.按容访问 15.存储器读写速率越高,每位的成本也越高,存储容量也小。解决这一问题的主要方法是采用( )。 A.多级存储体系结构B.并行存储器 C. Cache D.缓冲技术 16.计算机系统多级层次中,从下层到上层,各级相对顺序正确的应当是()。 A.汇编语言机器级---操作系统机器级---高级语言机器级 B.微程序机器级---传统机器语言机器级---汇编语言机器级 C.传统机器语言机器级---高级语言机器级---汇编语言机器级 D. 汇编语言机器级---应用语言机器级---高级语言机器级 17.对系统程序员不透明的是()。 A.Cache 存储器 B.系列几各档不同的数据通路宽度 C.指令缓冲寄存器 D.虚拟存储器 18.在计算机系统设计中,比较好的方法是()。

计算机系统结构实验报告

计算机系统结构实验报告 一.流水线中的相关 实验目的: 1. 熟练掌握WinDLX模拟器的操作和使用,熟悉DLX指令集结构及其特点; 2. 加深对计算机流水线基本概念的理解; 3. 进一步了解DLX基本流水线各段的功能以及基本操作; 4. 加深对数据相关、结构相关的理解,了解这两类相关对CPU性能的影响; 5. 了解解决数据相关的方法,掌握如何使用定向技术来减少数据相关带来的暂停。 实验平台: WinDLX模拟器 实验内容和步骤: 1.用WinDLX模拟器执行下列三个程序: 求阶乘程序fact.s 求最大公倍数程序gcm.s 求素数程序prim.s 分别以步进、连续、设置断点的方式运行程序,观察程序在流水线中的执行情况,观察 CPU中寄存器和存储器的内容。熟练掌握WinDLX的操作和使用。 2. 用WinDLX运行程序structure_d.s,通过模拟找出存在资源相关的指令对以及导致资源相 关的部件;记录由资源相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行周期数的 百分比;论述资源相关对CPU性能的影响,讨论解决资源相关的方法。 3. 在不采用定向技术的情况下(去掉Configuration菜单中Enable Forwarding选项前的勾选符),用WinDLX运行程序data_d.s。记录数据相关引起的暂停时钟周期数以及程序执行的 总时钟周期数,计算暂停时钟周期数占总执行周期数的百分比。 在采用定向技术的情况下(勾选Enable Forwarding),用WinDLX再次运行程序data_d.s。重复上述3中的工作,并计算采用定向技术后性能提高的倍数。 1. 求阶乘程序 用WinDLX模拟器执行求阶乘程序fact.s。这个程序说明浮点指令的使用。该程序从标准 输入读入一个整数,求其阶乘,然后将结果输出。 该程序中调用了input.s中的输入子程序,这个子程序用于读入正整数。 实验结果: 在载入fact.s和input.s之后,不设置任何断点运行。 a.不采用重新定向技术,我们得到的结果

北邮计算机系统结构实验报告-实验一到五-WINDLX模拟器

北京邮电大学 实验报告 课程名称计算机系统结构 计算机学院03班 王陈(11)

目录 实验一WINDLX模拟器安装及使用......................................... 错误!未定义书签。 ·实验准备................................................................................ 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验内容及要求.................................................................... 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验二指令流水线相关性分析 ............................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验三DLX处理器程序设计 .................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 A.向量加法代码及性能分析 ................................................... 错误!未定义书签。 B.双精度浮点加法求和代码及结果分析 .............................. 错误!未定义书签。 ·实验总结............................................................................. 错误!未定义书签。实验四代码优化 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 ·实验总结+实习体会........................................................... 错误!未定义书签。实验五循环展开 ....................................................................... 错误!未定义书签。 ·实验目的............................................................................. 错误!未定义书签。 ·实验环境................................................................................ 错误!未定义书签。 ·实验原理................................................................................ 错误!未定义书签。 ·实验步骤................................................................................ 错误!未定义书签。 ·实验过程............................................................................. 错误!未定义书签。 矩阵乘程序代码清单及注释说明........................................... 错误!未定义书签。 相关性分析结果........................................................................... 错误!未定义书签。 增加浮点运算部件对性能的影响........................................... 错误!未定义书签。 增加forward部件对性能的影响 ............................................ 错误!未定义书签。 转移指令在转移成功和转移不成功时候的流水线开销 .. 错误!未定义书签。 ·实验总结+实习体会+课程建议......................................... 错误!未定义书签。

计算机系统结构实验指导书-14

北京邮电大学 计算机学院 计算机系统结构实验指导书 王春露邝坚编著 2007.3 – 2013.4

目录z计算机系统结构实验简介 z DLX处理器简介 1. 实验一WINDLX模拟器安装及使用 2. 实验二指令流水线相关性分析 3. 实验三DLX处理器程序设计 4. 实验四代码优化 5. 实验五循环展开(选作)

计算机系统结构实验简介 DLX是一个虚拟处理器。该处理器是加州大学伯克利分校计算机系JohnL .H ennessy教授和斯坦福大学计算机系David A. Patterson教授在其《计算机体系结构:一种定量的方法》一书中提出的。该处理器反映了新一代处理器的特点。通过了解DLX处理器的结构和工作原理,并利用DLX模拟器进行实验,可以帮助学生综合地了解和运用有关处理器指令系统的设计、流水线的设计与实现等方面的知识,有助于计算机系统结构课程内容的理解。 DLX处理器简介 第一节 DLX基本结构 DLX是一种典型的Load/Store型指令集结构。它不仅体现了当今多种机器的指令集结构的共同特点,而且它还体现出未来一些机器的指令集结构的特点。这些机器的指令集结构设计思想都和DLX指令集结构的设计思想十分相似,它们都强调: (1) 具有一套简单的Load/Store指令集; (2) 注重指令流水效率; (3) 简化指令的译码; (4) 高效支持编译器。 DLX是一种易于学习和研究的处理器结构模型。这种类型的机器正在日趋流行,而且其结构非常易于理解。 1.DLX中的寄存器 DLX中有32个通用寄存器(GPRs),分别将其命名为R0,R1…R31。每个通用寄存器长度为32位。 另外,DLX中有32个浮点寄存器(FPRs),分别将其命名为F0,F1…F31。每个浮点寄存器长度为32位。这些浮点寄存器可以用来保存32位的单精度浮点数,或者通过相邻两个浮点寄存器奇偶对FiFi+1(i=0,2,4…,30)来保存双精度浮点数,这种组合而成的64位双精度浮点寄存器在DLX中分别被命名为F0,F2…F28,F30. 2. DLX数据类型 DLX提供了多种长度的整型数据和浮点数据。对整型数据而言,有8位,16位,32位多种长度;对浮点而言,有32位单精度浮点数和64位双精度浮点数。浮点数据表示采用的是IEEE754标准。DLX操作都是对32位整型数据及32或64位浮点数据进行的。 3.DLX的寻址方式和数据传送 DLX提供了寄存器寻址,立即寻址,偏移寻址和寄存器间接寻址四种寻址方式。寄存器寻址字段的大小为5位,用来标识32个通用寄存器或浮点寄存器。

计算机系统结构考试题库及答案

计算机系统结构试题及答案 一、选择题(50分,每题2分,正确答案可能不只一个,可单选 或复选) 1.(CPU周期、机器周期)是内存读取一条指令字的最短时间。 2.(多线程、多核)技术体现了计算机并行处理中的空间并行。 3.(冯?诺伊曼、存储程序)体系结构的计算机把程序及其操作数 据一同存储在存储器里。 4.(计算机体系结构)是机器语言程序员所看到的传统机器级所具 有的属性,其实质是确定计算机系统中软硬件的界面。 5.(控制器)的基本任务是按照程序所排的指令序列,从存储器取 出指令操作码到控制器中,对指令操作码译码分析,执行指令操作。 6.(流水线)技术体现了计算机并行处理中的时间并行。 7.(数据流)是执行周期中从内存流向运算器的信息流。 8.(指令周期)是取出并执行一条指令的时间。 9.1958年开始出现的第二代计算机,使用(晶体管)作为电子器件。 10.1960年代中期开始出现的第三代计算机,使用(小规模集成电路、 中规模集成电路)作为电子器件。 11.1970年代开始出现的第四代计算机,使用(大规模集成电路、超 大规模集成电路)作为电子器件。 12.Cache存储器在产生替换时,可以采用以下替换算法:(LFU算法、 LRU算法、随机替换)。

13.Cache的功能由(硬件)实现,因而对程序员是透明的。 14.Cache是介于CPU和(主存、内存)之间的小容量存储器,能高 速地向CPU提供指令和数据,从而加快程序的执行速度。 15.Cache由高速的(SRAM)组成。 16.CPU的基本功能包括(程序控制、操作控制、时间控制、数据加 工)。 17.CPU的控制方式通常分为:(同步控制方式、异步控制方式、联合 控制方式)反映了时序信号的定时方式。 18.CPU的联合控制方式的设计思想是:(在功能部件内部采用同步控 制方式、在功能部件之间采用异步控制方式、在硬件实现允许的情况下,尽可能多地采用异步控制方式)。 19.CPU的同步控制方式有时又称为(固定时序控制方式、无应答控 制方式)。 20.CPU的异步控制方式有时又称为(可变时序控制方式、应答控制 方式)。 21.EPROM是指(光擦可编程只读存储器)。 22.MOS半导体存储器中,(DRAM)可大幅度提高集成度,但由于(刷 新)操作,外围电路复杂,速度慢。 23.MOS半导体存储器中,(SRAM)的外围电路简单,速度(快),但 其使用的器件多,集成度不高。 24.RISC的几个要素是(一个有限的简单的指令集、CPU配备大量的 通用寄存器、强调对指令流水线的优化)。

计算机系统结构实验1预习报告

计算机系统结构实验 实验1:MIPS指令系统和MIPS体系结构 (预习报告) 姓名: 学号: 班级:

大连理工大学实验预习报告 学院:______________________专业:_______________________班级:_____________________ 姓名:______________________学号:_______________________ 实验时间:__________________实验室:__________________实验台:__________________ 指导老师签字:_________________________________________成绩:____________________ 实验目的: 了解熟悉MIPSsim模拟器; 熟悉MIPS指令系统及其特点; 熟悉MIPS体系结构 实验平台: 指令级和流水线操作级模拟器MIPSsim 资料准备: MIPS64指令系统介绍 1.MIPS的寄存器 32个64位通用寄存器(GPRs整数寄存器):R0-R31。R0的值永远是0。 32个64位浮点数寄存器FPRs:F0-F31。它们可以存放32个单精度浮点数(32位),也可以存放32个双精度浮点数(64位)。 MIPS提供了单精度和双精度操作的指令,而且还提供了在FPRs和GPRs之间传送数据的指令。2.MIPS的数据表示

整数:字节(8位)、半字(16位)、字(32位)和双字(64位)。 浮点数:单精度浮点数(32位)和双精度浮点数(64位)。 MIPS64的操作是针对64位整数以及32位或64位浮点数进行的。字节、半字或字在装入64位寄存器时,用零扩展或者用符号位扩展来填充该寄存器的剩余部分。装入以后,对它们按照64位整数的方式进行运算。 3.MIPS的数据寻址方式 MIPS的数据寻址方式只有立即数寻址和偏移量寻址两种,立即数字段和偏移量字段都是16位。 寄存器间接寻址是通过把0作为偏移量来实现的,16位绝对寻址是通过把R0作为基址寄存器来完成的。 MIPS的存储器是按字节寻址的,地址是64位。由于MIPS是load-store结构,寄存器和存储器之间的数据传送都是通过load指令和store指令来完成的。所有存储器访问都必须边界对齐。 4.MIPS的指令格式 指令格式简单,其中操作码6位。按不同类型的指令设置不同的格式,共有3种格式,分别对应I指令、R指令和J指令。在这3种格式中,同名字段的位置固定不变。 I类指令 包括所有的load和store指令、立即数指令、分支指令、寄存器跳转指令、寄存器链接跳转指令。其中立即数字段位16位,用于提供立即数或偏移量。 1)load指令 2)store指令 3)立即数指令 4)分支指令 5)寄存器跳转、寄存器跳转并链接

系统结构期末考试试题及答案

得分 评分人 填空题: (20分,每题2 分) 单选题:(10分,每题1分) A.任何虚页都可装入主存中任何实页的位置 B. 一个虚页只装进固定的主存实页位置 《计算机系统结构》期末考试试卷(A ) 得分 注:1、共100分,考试时间120分钟。 2、此试卷适用于计算机科学与技术本科专业。 1、."启动I/O"指令是主要的输入输出指令,是属于( A. 目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、 输入输出系统硬件的功能对 (B )是透明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、 全相联地址映象是指(A ) C. 组之间固定,组内任何虚页可装入任何实页位置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD 系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D. 阵列处理机 5、多处理机上两个程序段之间若有先写后读的数据相关,则( B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、 计算机使用的语言是(B ) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D. 属于符号化的机器指令 7、 指令执行结果出现异常引起的中断是( C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 &块冲突概率最高的 Cache 地址映象方式是(A ) A.直接 B .组相联 C .段相联 D .全相联 9、 组相联映象、LRU 替换的Cache 存储器,不影响 Cache 命中率的是(B ) A.增大块的大小 B .增大主存容量 C .增大组的大小 D .增加Cache 中的块数 10、 流水处理机对全局性相关的处理不 包括(C ) A.猜测法 B.提前形成条件码 C.加快短循环程序的执行 D.设置相关专用通路

计算机体系结构实验报告二

实验二结构相关 一、实验目的: 通过本实验,加深对结构相关的理解,了解结构相关对CPU性能的影响。 二、实验内容: 1. 用WinDLX模拟器运行程序structure_d.s 。 2. 通过模拟,找出存在结构相关的指令对以及导致结构相关的部件。 3. 记录由结构相关引起的暂停时钟周期数,计算暂停时钟周期数占总执行 周期数的百分比。 4. 论述结构相关对CPU性能的影响,讨论解决结构相关的方法。 三、实验程序structure_d.s LHI R2, (A>>16)&0xFFFF 数据相关 ADDUI R2, R2, A&0xFFFF LHI R3, (B>>16)&0xFFFF ADDUI R3, R3, B&0xFFFF ADDU R4, R0, R3 loop: LD F0, 0(R2) LD F4, 0(R3) ADDD F0, F0, F4 ;浮点运算,两个周期,结构相关 ADDD F2, F0, F2 ; <- A stall is found (an example of how to answer your questions) ADDI R2, R2, #8 ADDI R3, R3, #8 SUB R5, R4, R2 BNEZ R5, loop ;条件跳转 TRAP #0 ;; Exit <- this is a comment !! A: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10 B: .double 1, 2, 3, 4, 5, 6, 7, 8, 9, 10

四、实验过程 打开软件,load structure_d.s文件,进行单步运行。经过分析,此程序一 次循环中共有五次结构相关。(R-stall 数据相关Stall- 结构相关) 1)第一个结构相关:addd f2,,f0,f2 由于前面的数据相关,导致上一条指令addd f0,f0,f4暂停在ID阶段,所以下一条指令addd f2,,f0,f2发生结构相关,导致相关的部件:译码部件。 2)第二个结构相关:ADDI R2, R2, #8,与第一个结构相关类似。由于数据相关, 上一条指令暂停在ID阶段,所以导致下一条指令发生结构相关。

计算机系统结构期末考试试题及其答案

计算机系统结构期末考试试题及其答案

《计算机系统结构》期末考试试卷A 卷第 2 页 共 24 页 计算机科学系《计算机系统结构》期末考试试卷(A 卷) 2、此试卷适用于计算机科学与技术本科专业。 一 单选题:(10分,每题1分) 1、 ."启动I/O"指令是主要的输入输出指 令,是属于( B ) A.目态指令 B.管态指令 C.目态、管态都能用的指令 D.编译程序只能用的指令 2、 输入输出系统硬件的功能对(B )是透 明的 A.操作系统程序员 B.应用程序员 C.系统结构设计人员 D.机器语言程序设计员 3、 全相联地址映象是指(A ) A.任何虚页都可装入主存中任何实页的位置 B.一个虚页只装进固定的主存实页位置 C.组之间固定,组内任何虚页可装入任何实页位

置 D.组间可任意装入,组内是固定装入 4、( C ) 属于MIMD系统结构 A.各处理单元同时受一个控制单元的管理 B.各处理单元同时受同个控制单元送来的指令 C.松耦合多处理机和多计算机系统 D.阵列处理机 5、多处理机上两个程序段之间若有先写 后读的数据相关,则(B ) A.可以并行执行 B.不可能并行 C.任何情况均可交换串行 D.必须并行执行 6、计算机使用的语言是(B) A.专属软件范畴,与计算机体系结构无关 B.分属于计算机系统各个层次 C.属于用以建立一个用户的应用环境 D.属于符号化的机器指令 7、指令执行结果出现异常引起的中断是 (C ) A.输入/输出中断 B.机器校验中断 C.程序性中断 D.外部中断 《计算机系统结构》期末考试试卷A卷第 3 页共 24 页

大连理工大学计算机系统结构实验-实验四

大连理工大学实验报告计算机系统结构实验 实验四Cache性能分析 学院(系):电子信息与电气工程学部专业:计算机科学与技术 学生姓名: 班级: 学号: 大连理工大学 Dalian University of Technology

实验四Cache性能分析 一、实验目的和要求 (1)加深对Cache的基本概念、基本组织结构以及基本工作原理的理解。 (2)掌握Cache容量、相联度、块大小对Cache性能的影响。 (3)掌握降低Cache不命中率的各种方法以及这些方法对提高Cache性能的好处。 (4)理解LRU与随机法的基本思想以及它们对Cache性能的影响。 二、实验步骤与操作方法 1、Cache容量对不命中率的影响。 (1)启动MyCache。 (2)用鼠标单击“复位”按钮,把各参数设置为默认值。 (3)选择一个地址流文件。方法:选择“访问地址”—>“地址流文件”选项,然后单击“浏览”按钮,从本模拟器所在文件夹下的“地址流”文件夹中选取。 (4)选择不同的Cache容量,包括2KB、4KB、8KB、16KB、32KB、64KB、128KB和256KB。分别执行模拟器(单击“执行到底”按钮即可执行),然后在下表中记录各种情况下的不命中率。 表不同容量下Cache的不命中率 (5)以容量为横坐标,画出不命中率随Cache容量变化而变化的曲线,并指明地址流文件名。

(6)根据该模拟结果,你能得出什么结论? 答:随着Cache容量的增大,不命中率降低,但是降低的幅度由较大差别,Cache容 量足够大以后,不命中率降到一定程度以后,降低效果不再明显。 2.相联度对不命中率的影响 (1)用鼠标单击“复位”按钮,把各参数设置为默认值。此时的Cache容量为64KB。 (2)选择一个地址流文件。 (3)选择不同的Cache相联度,包括2路、4路、8路、16路和32路。分别执行模拟器,然后在下表中记录各种情况下的不命中率。 表当容量为64KB时,不同相联度下Cache的不命中率 (4)把Cache的容量设置为256KB,重复(3)的工作,并填写下表。 表当容量为256KB时,不同相联度下Cache的不命中率 (5)以相联度为横坐标,画出在64KB和256KB的情况下不命中率随Cache相联度变化而变化的曲线,并指明地址流文件名。

相关主题
文本预览
相关文档 最新文档