当前位置:文档之家› 数字电子技术试卷A后附答案

数字电子技术试卷A后附答案

数字电子技术试卷A后附答案
数字电子技术试卷A后附答案

诚信应考,考试作弊将带来严重后果!

华南理工大学期末考试

《数字电子技术》试卷A

注意事项:1、考前请将密封线内填写清楚;

2、所有答案请直接答在试卷上(或答题纸

上);

3.考试形式: 闭卷;

题号一二三四总分

得分

评卷人

一、单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案的

题号12345678910

答案

1.

A、10000000

B、0

C、100000000

D、100101000

2、已知函数F的卡诺图如图1-1, 试求其最简与或表达式

3、已知函数的反演式为,其原函数为( )。

A. B.

C. D.

4.对于TTL数字集成电路来说,下列说法那个就是错误的:

(A)电源电压极性不得接反,其额定值为5V;

(B)不使用的输入端接1;

(C)输入端可串接电阻,但电阻值不应太大;

(D)OC门输出端可以并接。

5.欲将正弦信号转换成与之频率相同的脉冲信号,应用

A、T,触发器

B、施密特触发器

C、A/D转换器

D、移位寄存器

6.下列A/D转换器中转换速度最快的就是()。

A、并联比较型

B、双积分型

C、计数型

D、逐次渐近型

7、一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有

()个。

A、 10

B、 11

C、 12

D、 8

8、如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH

≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输出电流为I OH(max)=–0、4mA 。门G1的扇出系数就是()。

A、1

B、4

C、5

D、10

9、十数制数2006、375转换为二进制数就是:

A、11111010110、011

B、1101011111、11

C、11111010110、11

D、1101011111、011

10、 TTL或非门多余输入端的处理就是:

A、悬空

B、接高电平

C、接低电平

D、接”1”

二、填空题(每小题2分,共20分)

1、CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。

2、写出四种逻辑函数的表示方法:

_______________________________________________________________;

3、逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑;

4、把JK触发器改成T触发器的方法就是_____________。

5、组合逻辑电路就是指电路的输出仅由当前的_____________决定。

6、5个地址输入端译码器,其译码输出信号最多应有_____________个。

7、输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。

8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。

9.N个触发器组成的计数器最多可以组成_____________进制的计数器。

8、基本RS触发器的约束条件就是_____________。

三.电路分析题(36分)

1、图3-1(a)所示电路, 移位寄存器原来的数据就是,数据从Di顺序输入到移位寄存器,试问:

(1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形?

(2) 该电路的逻辑功能?(12分)

2、图3-2为两个时钟发生器,图中R1=510Ω, R2=10KΩ,C=0、1uF。(12分)

(1)写出JK触发器的状态方程及输出V

1、V

2

的表达式;

(2)画出555定时器的输出V

O 以及V

1

、V

2

的波形;

(3)计算V

1

的周期与脉冲宽度Tw、

555定时器功能表

4

脚6脚2脚3脚7脚

0 ××0 导通

1 >2/3V CC>1/3V CC0 导通 1 <2/3V CC>1/3V CC不变不变 1 <2/3V CC<1/3V CC 1 截止 1 >2/3V CC<1/3V CC 1 截止

3.双积分A/D转换器如图3-3所示,试回答

以下问题: (12分)

(1)若被测电压Vi的最大值为2V, 要求分辩率小于0、1mV, 问二进制计数器就是多少位的?

(2)若时钟脉冲频率为200kHz, 则对Vi进行采样的时间T1为多长?

(3)若时钟脉冲频率为200kHz, , 已知,输出电压Vo的最大值为5V, 积分时间常数就是多少?

四.电路设计题(24分)

1)试用一片双4选1的数据选择器74LS153与必要的门电路,设计下面逻

辑函数,并在器件图上画出相应的电路图。(10分)

双4

输入输出

()()

0 (0)0 0

()

0 (0)0 1

()

0 (0) 1 0

()

0 (0) 1 1

()

1 (1)X X 0 (0)

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

模拟电子技术基础试卷及答案

模拟电子技术基础试卷及答案 一、填空(18分) 1.二极管最主要的特性是 单向导电性 。 2.如果变压器二次(即副边)电压的有效值为10V ,桥式整流后(不滤波)的输出电压为 9 V ,经过电容滤波后为 12 V ,二极管所承受的最大反向电压为 14 V 。 3.差分放大电路,若两个输入信号u I1u I2,则输出电压,u O 0 ;若u I1 =100μV ,u I 2=80μV 则差模输入电压u Id = 20μV ;共模输入电压u Ic =90 μV 。 4.在信号处理电路中,当有用信号频率低于10 Hz 时,可选用 低通 滤波器;有用信号频率高于10 kHz 时,可选用 高通 滤波器;希望抑制50 Hz 的交流电源干扰时,可选用 带阻 滤波器;有用信号频率为某一固定频率,可选用 带通 滤波器。 5.若三级放大电路中A u 1A u 230dB ,A u 320dB ,则其总电压增益为 80 dB ,折合为 104 倍。 6.乙类功率放大电路中,功放晶体管静态电流I CQ 0 、静态时的电源功耗P DC = 0 。这类功放的能量转换效率在理想情况下,可达到 78.5% ,但这种功放有 交越 失真。 7.集成三端稳压器CW7915的输出电压为 15 V 。 二、选择正确答案填空(20分) 1.在某放大电路中,测的三极管三个电极的静态电位分别为0 V ,-10 V ,-9.3 V ,则这只三极管是( A )。 A .NPN 型硅管 B.NPN 型锗管 C.PNP 型硅管 D.PNP 型锗管 2.某场效应管的转移特性如图所示,该管为( D )。 A .P 沟道增强型MOS 管 B 、P 沟道结型场效应管 C 、N 沟道增强型MOS 管 D 、N 沟道耗尽型MOS 管 3.通用型集成运放的输入级采用差动放大电路,这是因为它的( C )。 A .输入电阻高 B.输出电阻低 C.共模抑制比大 D.电压放大倍数大 4.在图示电路中,R i 为其输入电阻,R S 为常数,为使下限频率f L 降低,应( D )。 A . 减小C ,减小R i B. 减小C ,增大R i C. 增大C ,减小 R i D. 增大C ,增大 R i 5.如图所示复合管,已知V 1的β1 = 30,V 2的β2 = 50,则复合后的β约为( A )。 A .1500 B.80 C.50 D.30 6.RC 桥式正弦波振荡电路由两部分电路组成,即RC 串并联选频网络和( D )。 A. 基本共射放大电路 B.基本共集放大电路 C.反相比例运算电路 D.同相比例运算电路 7.已知某电路输入电压和输出电压的波形如图所示,该电路可能是( A )。 A.积分运算电路 B.微分运算电路 C.过零比较器 D.滞回比较器 8.与甲类功率放大方式相比,乙类互补对称功放的主要优点是( C )。 0 i D /mA -4 u GS /V 5 + u O _ u s R B R s +V CC V C + R C R i O t u I t u o 4题图 7题图 V 2 V 1

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电工电子技术基础试题库

电工电子技术基础试题库 Prepared on 24 November 2020

一、判断题 1.理想电流源输出恒定的电流,其输出端电压由内电阻决定。 (错) 2.因为正弦量可以用相量来表示,所以说相量就是正弦量。 (错) 3.自耦变压器由于原副边有电的联系,故不能作为安全变压器使用。(对) 4.电动机的额定功率是指电动机轴上输出的机械功率。 (对) 5.一个1/4W,100Ω的金属膜电阻,能够接在50V 电源上使用。 (错) 6.三相对称电路中,负载作星形联接时,P 3I I l 。 (错) 7.电阻、电流和电压都是电路中的基本物理量。 (错) 8. 电压是产生电流的根本原因。因此电路中有电压必有电流。 (错) 9. 正弦量的三要素是指最大值、角频率和相位。 (错) 10.负载作星形联接时,必有线电流等于相电流。 (对) 11.一个实际的电感线圈,在任何情况下呈现的电特性都是感性。 (错) 12.正弦交流电路的频率越高,阻抗越大;频率越低,阻抗越小。 (错) 13.中线不允许断开,因此不能安装保险丝和开关。 (对) 14.互感器既可用于交流电路又可用于直流电路。 ( 错 ) 15.变压器是依据电磁感应原理工作的。 ( 对 ) 16.电机、电器的铁心通常都是用软磁性材料制成。 ( 对 ) 17.自耦变压器由于原副边有电的联系,所以不能作为安全变压器使用。 ( 对 ) 18.电动机的转速与磁极对数有关,磁极对数越多转速越高。 ( 错 ) 19.三相异步电动机在满载和空载下起动时,起动电流是一样的。( 错 ) 20.二极管若工作在反向击穿区,一定会被击穿。 (错) 21.晶体管可以把小电流放大成大电流。 (对) 22.在P 型半导体中,空穴是多数载流子,电子是少数载流子。 (对)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电子技术基础试题

。电子技术基础试题库(第四版) 第一章:半导体二极管 一、填空题 1、根据导电能力来衡量,自然界的物质可以分为______________、__________和__________三类。 导体、绝缘体、半导体 2、PN节具有__________特性,即加正向压时__________,加反向压时__________。 单向导电特性、导通、截止 3、硅二极管导通时的正向管压降约__________V,锗二极管导通时的正向管压降约__________V。 、 4、使用二极管时,应考虑的主要参数是__________、__________。 最大整流电流、最高反向工作电压 5、在相同的反向电压作用下,硅二极管的反向饱和电流常__________于锗二极管的反向饱和电流,所以硅二极管的热稳定性较__________ 小、好 6、根据导电能力来衡量,自然界的物质可分为_______ 、_________和__________三类。导体, 绝缘体,半导体 7、PN结具有_____________性能,即加正向电压时PN结________,加反向电压时的PN结 _________。单向导电性,导通,截止 二,判断题 1、半导体随温度的升高,电阻会增大。()N 2、二极管是线性元件。()N 3、不论是哪种类型的半导体二极管,其正向电压都为0.3V左右。()N 4、二极管具有单向导电性。()Y 5、二极管的反向饱和电流越大,二极管的质量越好。()N 6、二极管加正向压时一定导通()N 7、晶体二极管是线性元件。()N 8、一般来说,硅晶体二极管的死区电压小于锗晶体二极管的死区电压。()Y 三、选择题 1、PN结的最大特点是具有()C A、导电性B、绝缘性C、单相导电性 2、当加在硅二极管两端的正向电压从0开始逐渐增加时,硅二极管()C A、立即导通B、到0.3V才开始导通C、超过死区压才开始导通D、不导通 3、当环境温度升高时,二极管的反向电流将()A A、增大B、减少C、不变D、先变大后变小 4、半导体中传导电流的载流子是()。C A、电子 B、空穴 C、电子和空穴 5、P型半导体是()B A、纯净半导体 B、掺杂半导体 C、带正电的 四、综合题

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电子技术基础(模拟部分)试卷二及答案

试卷二 系别学号姓名成绩 考试课程模拟电子技术基础考试日期2002 年12月12日 题号一二三四五六七八九总分分数 得分核分人阅卷人 1.单项选择题(在每一小题的四个备选答案中,选出一个正确的答案,并将其序号写在题干后的( )内。每小题2分,共20分) (1)杂质半导体中的少数载流子浓度取决于( )。 A.掺杂浓度 B.工艺 C.温度 D.晶体缺陷 (2)硅稳压管在稳压电路中稳压时,工作于( )。 A.正向导通状态 B.反向电击穿状态 C.反向截止状态 D.反向热击穿状态 (3)测得一放大电路中的三极管各电极相对于“地”的电压如图1所示, A.NPN型硅管 B.NPN型锗管 C.PNP型锗管 D.PNP型硅管 (4)温度上升时,半导体三级管的( )。 A.β和I CBO 增大,U BE 下降 B.β和U BE 增大,I CBO 减小 C.β减小,I CBO 和U BE 增大 D.β、I CBO 和U BE 均增大 (图1) (5)在共射极、共基极、共集电极、共漏极四种基本放大电路中,u o与u i 相位相反、 ︱A U ︱>1的只可能是( )。 A.共集电极放大电路 B.共基极放大电路 C.共漏极放大电路 D.共射极放大电路 (6)在四种反馈组态中,能够使输出电压稳定,并提高输人电阻的负反馈是( )。 A.电压并联负反馈 B.电压串联负反馈 C.电流并联负反馈 D.电流串联负反馈 (7)关于多级放大电路下列说法中错误的是( )。 A.A u等于各级电压放大倍数之积 B.R i 等于输入级的输入电阻 C.R。等于输出级的输出电阻 D.A u 等于各级电压放大倍数之和 (8)用恒流源电路代替典型差动放大电路中的公共射极电阻R E ,可以提高电路的 ( )。 A.︱A ud ︱ B.︱A ue ︱ C.R id D.K CMR (9)电路如图2所示,其中U 2 =20V,C=100uF。变压器内阻及各二极管正向导通时 的电压降、反向电压均可忽略,该电路的输出电压U 0 ≈( )。 A.24V B.28V C.-28V D.-18V (图2) (10)在下列四种比较器中.抗干扰能力强的是( )。 A.迟滞比较器 B.零比较器 C.三态比较器 D.窗口比较器 2.填充题(每格1分,共20分) (11)当放大电路输入非正弦信号时,由于放大电路对不同频率分量有不同的放大倍数 而产生的输出失真称为失真,由于相位滞后与信号频率不成正比而产生的输 出失真称为失真,这两种失真统称为失真或失真。 (12)半导体三极管属控制器件,而场效应管属于控制器件。 (13)按结构不同,场效应管可分为型和型两大类。 (14)集成运放内部电路实际上是一个、、放大电路。 (15)直流稳压电源由、、、四个部分组成。 (16)按幅频特性不同,滤波器可分为滤波器、滤波器、滤 波器、滤波器及滤波器等五种。 3.分析计算题(共60分) (17)电路如图3所示.已知R B1=50KΩ, R B2=10KΩ, R C=6KΩ, R E=750Ω,信号源内阻R S= 5KΩ,负载电阻R L=10KΩ,电源电压+V CC=12V,电容C1、C2的电容量均足够大,晶体管的β=99、 r be=1 KΩ、U BE=0.7V。试求:(a)电压放大倍数A u(=U O/U I)及A us(=U O/U S); (b)输入电阻R i和输出电阻R O。 ① -2V ② -8V ③ -2.2V + u1 - TR + u2 - D1 D4 D3 D 2 C + U o -

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

数字电子技术基础—试题—填空

一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( 11110.01 ) 2 = ( 1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = (1)。 3 . 三态门输出的三种状态分别为:高电平、低电平和高阻态。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储4位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为12条、数据线为 8条。 1.八进制数 (34.2 ) 8 的等值二进制数为(11100.01 ) 2 ; 十进制数 98 的 8421BCD 码 为( 10011000 ) 8421BCD 。 2 . TTL 与非门的多余输入端悬空时,相当于输入 高电平。 3 .图15所示电路 中 的最简逻辑表达式为AB 。 图 15 4. 一个 JK 触发器有 两 个稳态,它可存储 一 位二进制数。 5. 若将一个正弦波电压信号转换成同一频率的矩形波,应采用 多谐振荡器 电路。 6. 常用逻辑门电路的真值表如表1所示,则 F 1 、 F 2 、 F 3 分别属于何种常用逻辑门。 A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1 表 1 F 1 ;F 2 ;F 3 分别为:同或 , 与非门 , 或门 1.(11011)2 =(__27__)10 2.8421BCD 码的1000相当于十进制的数值 8 。 3.格雷码特点是任意两个相邻的代码中有__一__位二进制数位不同。 4.逻辑函数的反演规则指出,对于任意一个函数F ,如果将式中所有的__与或运算__互换,_原变量___互换,__反变量__互换,就得到F 的反函数 F 。 5.二极管的单向导电性是外加正向电压时 导通 ,外加反向电压时 截止 。 6.晶体三极管作开关应用时一般工作在输出特性曲线的 饱和 区和 截止 区。 7.TTL 三态门的输出有三种状态:高电平、低电平和 高阻 状态。 8. 集 电极开路门的英文缩写为 OC 门,工作时必须外加 上拉电阻 和 电源 。 9.一个2线-4线译码器,其输入端的数目与输出端数目相比较,后者较 多 。 10. 输出n 位代码的二进制编码器,一般有 __2n ____个输入信号端。 11.全加器是指能实现两个加数和___(低位)进位信号____三数相加的算术运算逻辑电路。 12.时序逻辑电路的输出不仅与 当前输入状态 有关,而且与 输出的原始状态 有关。 13.与非门构成的基本RS 锁存器的特征方程是 S+ n Q R ,约束条件是 RS=0 。

电子技术基础期末考试试题及答案

座号 XXX2012—2013学年度第二学期期末考试试卷 职高二 《电子技术基础与技能》 题 号 一 二 三 四 总 分 得 分 (本试卷满分100分,考试用时120分钟) 一、单项选择题(本大题共15小题,每小题2分,共30分) 在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的表格内错选、多选或未选均无分。 1.触发器与组合逻辑电路比较( ) A.两者都有记忆能力 B.只有组合逻辑电流有记忆能力 C.只有触发器有记忆能力 D.两者都没有记忆能力 2.与非门构成的基本RS 触发器,输入信号S =0,R =1,其输出状态是( ) A.置1 B.置0 C.不定 D.保持 3.RS 触发器不具备 功能( ) A.置 1 B.置0 C. 保 持 D.翻转 4.某计数器逻辑功能每5个时钟脉冲循环一次,且在每次循环过程中每来一个脉冲计数器新态加1,则该计数器是( ) A.二进制加法器 B.五进制加法器 C.十进制加法器 D.五进制减法器 5.8421BCD 码是常见的二—十进制码,下列 是8421BCD 码禁用码( ) A.1001 B.0111 C.1010 D.0101 6.下列说法正确的是( ) A.Q=0称触发器处于0态 B. Q=0称触发器处于1态 C.Q =0称触发器处于0态 D.Q =1称触发器处于1态 7. JK 触发器在时钟脉冲作用下,触发器置1,其输入信号为( ) A.J=1,K=1 B. J=0,K=0 C. J=1,K=0 D. J=0,K=1 8. D 触发器在CP 脉冲作用下,1 n Q =( ) A.1 B.D C.0 D.n Q 9.下图所示可能是鈡控同步RS 触发器真值表的是( ) 10.电路如下图所示,若初态都为0,则n+1=1Q 的是( ) 11.五位二进制数能表示十进制数的最大值是( ) A.31 B.32 C.10 D.5 12.n 个触发器可以构成最大计数长度为 的计数器( ) A.n???????? ? B.2n C.n 2??? ???? ???D.2n 13.一个4位二进制加法计数器起始状态为0010,当最低位接收到10个脉冲时,触发器状态为( ) A.0010 B.0100 C.1100 D.1111 14.下图所示的电路中,正确的并联型稳压电路为( ) 15.在有电容滤波的单相桥式整流电路中,若要使输出电压为60V ,则变压器的次级电压应为( ) A.50V B.60V C.72V D.27V 二、判断题(本大题共5小题,每小题3分,共15分)(对打√,错打×) 16.P 型半导体中,多数载流子是空穴 ( ) 17.环境温度升高时,半导体的导电能力将显着下降 ( ) 18.二极管正偏时,电阻较小,可等效开关断开 ( ) 19.稳压二极管工作在反向击穿区域 ( ) 20.光电二极管是一种把电能转变为光能的半导体器件 ( ) 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK 触发器可避免RS 触发器 状态出现。与RS 触发器比较,JK 触发器增加了 功能; 22.寄存器存放数码的方式有 和 两种方式; 23.二极管的伏安特性曲线反映的是二极管 的关系曲线; 24.常见的滤波器有 、 和 ; 25.现有稳压值为5V 的锗稳压管两只,按右图所示方法接入电路,则V 0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 题号 1 2 3 4 5 6 7 8 9 10 答案 题号 11 12 13 14 15 16 17 18 19 20 答案 ----------------------------------------------密-----封-----线-----内-----不-----准-----答-----题---------------------------------------------------------------------------------

相关主题
文本预览
相关文档 最新文档