当前位置:文档之家› 数字音频实验报告模板

数字音频实验报告模板

数字音频实验报告模板
数字音频实验报告模板

佛山科学技术学院教育科学学院

实验报告

课程名称: _ 数字音频设计与制作_____ _______ _________________

实验项目: _ _ 分组故事对话 ________ _________ _______

专业班级: _ 09教技1班姓名:_ 何嘉琪李爱华学号:_2009914116 2009914123 __

指导教师: __ 刘星 _ _ _ 成绩:__________ 日期:_2012-03-13

__

一:实验目的与原理:

实验目的:

1、了解Adobe Audition 3.0是一款有很多优点的、专门的音频编辑软件,非常适合动漫作品的音频编辑,熟悉Adobe Audition 3.0 的操作界面,它能进行单轨音频编辑、多轨混音编辑、音频录制、视频文件中的音频编辑等工作。

2、通过分组对话练习,增加彼此之间的合作与协调能力,学会把自己置身于对话的角色当中,体会当中的情感。

实验原理:

能依据所学的知识,进行声音录制,调速,对噪声进行采样和降噪,修复破音,淡出淡入,配音处理等,并且能够导出成为合适的音频格式。

二:实验步骤:

1、上网找资料;

①一则故事:

植物与僵尸

一天,阳光暖暖的,女植物出来晒太阳,心想:“哇,天气真好!”。

过来一会儿,女植物看到了男植物身边有个小孩,气的晴天霹雳,你有种,居然在外面有私

生子,男植物,今天我一定要和你离婚!”

男植物走到女植物身边,温柔的对女植物说:“怎么了,亲爱的,发生什么事了?

女植物说:“你以为你干的好事,我不知道吗?”

她瞅了小孩一眼,“说,那小孩儿哪儿来的?”

小孩目睹了两夫妻的吵架过程,弱弱的说了一句:“我只是路过,眼睛看到的不一定是事实噢!”

2、录制朗读声音,分工。李爱华负责设计动画和扮演男植物,何嘉琪负责调音和扮演女植物和小孩;

3、删除与增加间隔时间,调整语速;

4、对文件中的噪声波进行采样,对录制声音降噪处理;

5、修复破音的波形;

6、导入背景音乐,将录音与背景音乐插入到多轨进行编辑;

7、对本经音乐淡入淡出处理,朗诵部分的背景音乐淡化;

8、将编辑好的音频文件导出为常用的音频格式MP3。

三:实验结果与分析:

通过本次实验课程,主要学习了数字音频的处理,其体会是:

1.男音部分始终要找男的配音才会逼真,女的录下来虽然经过调音但还是很粗糙。

2.在一些动画片上取经验,学习配音的语速和神态。

教师评语:

(1)

注:1. 字数800以上,完成指定题型测试后填写。

2. 实验报告的内容:一:实验目的与原理;二:实验步骤;三:实验分析与结果;四:改进实验建议(可选,该项不计字数)。

3.如书写空间不够,请自行另附A4纸张并装订。

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

多谐振荡器

测控电路实验报告 班级:07050341 学号: 姓名:

多谐振荡器 一、实验内容 1.用555芯片设计一个频率为50HZ的多谐振荡器占空比为2/3。画出设计的电路,并用Multisim 7进行软件仿真,分析仿真结果。(在0.01uF,1uF;确定R1,R2的值) 2.用555芯片设计的在实验仪上安装好电路,检查实验电路接线无误之后接通电,用示波器测量出波形,标出幅度等。 3.总结实验收获。 二、实验目的 1.了解555定时器的结构和工作原理。 2.掌握用555定时器组成多谐振荡器的方法。 3.学习使用示波器测量脉冲幅度、周期和宽度的方法。 三、实验装置: 示波器SS5702 万用表直流稳压电源实验板 四、实验原理 1、555定时器组成多谐振荡器如图1所示,通电后输出高电平,同时电源通过R1,R2向电容C充电,当电容C充电到电源电压的2/3时,内部比较电路使得输出变为低电平,电容开始C放电,当电容C放电输出到电源电压的1/3时,内部比较电路使得输出变为高电平,这样循环往复电容两端电压在电源电压的1/3与2/3处振荡,使输出产生方波。 图1 电路的振荡周期T=T1+T2=(R1+2R2)CLn 改变R1R2和C的数值可以得1Hz到3000kHz振荡频率 2、工作原理:

多谐振荡器的工作波形如图6-11(b)所 示: 电路接通电源的瞬间,由于电容C 来不及充电,Vc=0v,所以555定时器 状态为1,输出Vo为高电平。同时,集 电极输出端(7脚)对地断开,电源Vcc 对电容C充电,电路进入暂稳态I,此 后,电路周而复始地产生周期性的输出 脉冲。多谐振荡器两个暂稳态的维持时间取决于RC充、放电回路的参数。暂稳态Ⅰ的维持时间,即输出Vo的正向脉冲宽度T1≈0.7(R1+R2)C;暂稳态Ⅱ的维持时间,即输出Vo的负向脉冲宽度T2≈0.7R2C。 因此,振荡周期T=T1+T2=0.7(R1+2R2)C,振荡频率f=1/T。正向脉冲宽度 T1与振荡周期T之比称矩形波的占空比D,由上述条件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,则D≈1/2,即输出信号的正负向脉冲宽度相等的矩形波(方波)。 五、实验结果: 由R1,R2,C组成积分电路,由输入端6脚和2脚的输入值V-和V+两者切换的临界值决定,而V-与V+之间往复振荡遵循1/3VCC与2/3VCC的电压关系进行。电容的充电时间T1和放电时间T2 公式各为: T1=(R1+R2)CLn2 T2 =R2CLn2,R1=5.1K,R2=12K,波形如图所示 六、实验总结: 通过实验,熟悉了由555定时器构成多谐振荡器的工作原理及方法,对555定时器加深了了解,通过实验过程,培养了认真谨慎的精神。

建模与仿真实验报告

重庆大学 学生实验报告 实验课程名称物流系统建模与仿真 开课实验室物流工程实验室 学院自动化年级12 专业班物流工程2班学生姓名段竞男学号20124912 开课时间2014 至2015 学年第二学期 自动化学院制

《物流系统建模与仿真》实验报告

(2)属性窗口(Properties Window) 右键单击对象,在弹出菜单中选择 Properties;用于编辑和查看所有对象都拥有的一般性信息。 (3)模型树视图(Model Tree View) 模型中的所有对象都在层级式树结构中列出;包含对象的底层数据结构;所有的信息都包含在此树结构中。 4)重置运行 (1)重置模型并运行 (2)控制仿真速度(不会影响仿真结果) (3)设置仿真结束时间 5)观察结果 (1)使用“Statistics”(统计)菜单中的Reports and Statistics(报告和统计)生成所需的 各项数据统计报告。 (2)其他报告功能包括:对象属性窗口的统计项;记录器对象;可视化工具对象;通过触发器 记录数据到全局表。

五、实验过程原始记录(数据、图表、计算等) 1、运行结果的平面视图: 2、运行结果的立体视图 3、运行结果的暂存区数据分析结果图:

第一个暂存区 第二个暂存区 由报表分析可知5次实验中,第一个暂存区的平均等待时间为11.46,而第二个暂存区的平均等待时间为13.02,略大于第一个暂存区,由此可见,第二个暂存区的工作效率基本上由第一个暂存区决定。 4、运行结果三个检测台的数据分析结果图,三个检测台的state饼图: (1)处理器一:

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

vf课程设计实验报告模板

vf 课程设计实验报告模板 经济管理学院 学生信息管理系统的设计与实现 09年12 月28 日 、课程设计的目的和意义 当今,人类正在步入一个以智力资源的占有和配置,知识生产、分配和使用为最重要因素的知识经济时代,为了适应知识经济时代发展的需要,大力推动信息产业的发展,我们通过对学生信息管理系统的设计,来提高学生的操作能力,及对理论知识的实践能力,从而提高学生的基本素质,使其能更好的满足社会需求。 学生信息管理系统是一个简单实用的系统,它是学校进行学生管理的好帮手。 此软件功能齐全,设计合理,使用方便,适合各种学校对繁杂的学生信息进行统筹管理,具有严格的系统使用权限管理,具有完善的管理功能,强大的查询功能。它可以融入学校的信息管理系统中,不仅方便了学生信息各方面的管理,同时也为教师的管理带来了极大地便利。 我们进行本次课程设计的主要目的是通过上机实践操作,熟练掌握数据库的设 计、表单的设计、表单与数据库的连接、SQL语言的使用和了解它的功能:数据定 义、数据操纵、数据控制,以及简单VF程序的编写。基本实现学生信息的管理, 包括系统的登录、学生信息的录入、学生信息的浏览、学生信息的查询、学生信息的修改和学生信息的删除,并对Visual FoxPro6.0 的各种功能有进一步的了解,为我们更进一步深入的学习奠定基础,并在实践中提高我们的实际应用能力,为我们以后的学习和工作提供方便,使我们更容易融入当今社会,顺应知识经济发展的趋势。 - 1 -

、系统功能设计 通过该系统可以基本实现学生信息的管理,包括系统的登录、学生信息的录 入、学生信息的浏览、学生信息的查询、学生信息的修改和学生信息的删除。系统 功能模块如下图所示。 学生信息管理系统主界面 登录 管理 学学学学学 生生生生生 信信信信信 息息息息息 录查浏修删 入询览改除 三、系统设计内容及步骤 3.1创建项目管理文件 1.启动foxpro 系统,建一个项目管理器,命名为“学生管理”。 哑 目f ■ 也 电 岂同左 矣 氏H. 0 存 JI 蛋誤曾

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

测控电路实验报告

成绩 仪器与电子学院实验报告 (软件仿真性实验) 班级:14060142 学号:26 学生姓名:殷超宇 实验题目:信号运算电路设计 一、实验目的 1.通过实验,熟悉电桥放大电路的类型 2?理解电桥放大电路的原理 3.掌握电桥放大电路的设计方法 二、实验器材 MultiSim实验仿真软件 三、实验说明 1.设计信号运算电路,并在MultiSim 环境下搭建仿真电路。 2?把信号发生器接入输入端。 3?用示波器测量信号观测与理论计算是否相符。 四、实验内容和步骤 1?仿真分析P26中图2-5(a)、(b)单端输入电桥放大电路,并列写输出电压与电阻变化量、电桥电压的数学关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、 1.04R、1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线) 2.仿真分析P27中图2-6差动输入电桥放大电路,,并列写输出电压与电阻变化量、电桥电压的数学 关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、1.04R、 1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线)

3?仿真分析P27中图2-7线性电桥放大电路,,并列写输出电压与电阻变化量、电桥电压的数学关系式。(仿真要求:改变某桥臂的电阻值:0.90R、0.92R、0.94R、0.96R、0.98R、R、1.02R、1.04R、 1.06R、1.08R、1.1R,记录相应输出电压,并绘制电阻-输出电压曲线) 五、电路图实验结果 1.1

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数电仿真实验报告

实验一:组合逻辑电路设计与分析 一、实验目的 (1)掌握组合逻辑电路的特点; (2)利用组合逻辑转换仪对组合逻辑电路进行分析。 二、实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻的输入信号的取值组合。根据电路的特定功能,分析组合逻辑电路的过程。 三、实验电路及步骤 (1)利用逻辑转换仪对已知电路进行分析 实验连接图如下: U1A 74LS136D U1B 74LS136D U1C 74LS136D U2A 74LS04D U2B 74LS04D U2C 74LS04D XLC1 A B 真值表和逻辑表达式如下: (2)根据要求利用逻辑转换仪进行逻辑电路分析。 问题的提出:火灾报警器只有在烟感、温感和紫外线三种不同类型的火灾探测器中两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号。

四、思考题 (1)设计一个四人表决电路。如果3人或者3人以上同意,则通过;反之,则被否决。用与非门实现。 (2)利用逻辑转换仪对下图所示逻辑电路进行分析 五、实验体会

实验二:编码器、译码器电路仿真实验 一、 实验目的 (1)掌握编码器、译码器的工作原理。 (2)常见编码器、译码器的作用。 二、 实验原理 数字信号不仅可以用来表示数,还可以用来表示各种指令和信息。通过编码和译码来实现。 (1)编码是指在选定的一系列二进制数码中,赋予每个二进制数码以某一固定含义。能完成编码功能的电路统称为编码器。 (2)译码是编码的逆过程,将输入的每个二进制代码赋予的含义翻译出来,给出相应的输出信号。 U1 74LS148D A 0 9 A 17A 26G S 14 D 313D 41D 52D 212D 111D 0 10 D 74D 63 E I 5E O 15 U2 74LS138D Y 0 15 Y 114Y 213Y 312Y 411Y 510Y 69Y 77A 1 B 2 C 3G 1 6~G 2A 4~G 2B 5 图2-1 编码器74LS148D 和译码器74LS138D 三、实验电路 (1)8-3线优先编码器 实验电路图如下:

【实验报告】大学物理实验课程设计实验报告

大学物理实验课程设计实验报告北方民族大学 大学物理实验(设计性实验) 实验报告 指导老师:王建明 姓名:张国生 学号:XX0233 学院:信息与计算科学学院 班级:05信计2班 重力加速度的测定 一、实验任务 精确测定银川地区的重力加速度 二、实验要求 测量结果的相对不确定度不超过5% 三、物理模型的建立及比较 初步确定有以下六种模型方案: 方法一、用打点计时器测量

所用仪器为:打点计时器、直尺、带钱夹的铁架台、纸带、夹子、重物、学生电源等. 利用自由落体原理使重物做自由落体运动.选择理想纸带,找出起始点0,数出时间为t的p点,用米尺测出op的距离为h,其中t=0.02秒×两点间隔数.由公式h=gt2/2得g=2h/t2,将所测代入即可求得g. 方法二、用滴水法测重力加速度 调节水龙头阀门,使水滴按相等时间滴下,用秒表测出n个(n取 50―100)水滴所用时间t,则每两水滴相隔时间为t′=t/n,用米尺测出水滴下落距离h,由公式h=gt′2/2可得g=2hn2/t2. 方法三、取半径为r的玻璃杯,内装适当的液体,固定在旋转台上.旋转台绕其对称轴以角速度ω匀速旋转,这时液体相对于玻璃杯的形状为旋转抛物面重力加速度的计算公式推导如下: 取液面上任一液元a,它距转轴为x,质量为m,受重力mg、弹力n.由动力学知: ncosα-mg=0(1) nsinα=mω2x(2) 两式相比得tgα=ω2x/g,又tgα=dy/dx,∴dy=ω2xdx/g, ∴y/x=ω2x/2g.∴g=ω2x2/2y. .将某点对于对称轴和垂直于对称轴最低点的直角坐标系的坐标x、y测出,将转台转速ω代入即可求得g.

测控电路实验报告

测控电路实验报告 班级: 学号: 姓名:

实验一运算电路的仿真 一、实验目的 通过使用仿真软件和实验箱,学习并掌握各种运算电路的仿真,并且调试出各种电路的输入输出波形。 二、实验内容 1、积分电路 2 、微分电路 3 、运算放大器积分电路 R1=16K,C1=100nF 4 、运算放大器微分电路 R1=16K, C1=100nF 5、反相加法器 6 、同相加法器 7、减法器电路

三、实验结果 1、积分电路 2、微分电路 3、运算放大器积分电路 4、运算放大器微分电路

5、反向加法器 6、同向加法器 7、减法器电路

实验二A/D 、D/A 转换实验 一、实验目的 1、掌握D/A和A/D转换器的基本工作原理和基本结构; 2、掌握大规模集成D/A和A/D转换器的功能及其典型应用。 二、实验内容 1、A/D转换实验 2、D/A转换实验 图1 所示电路是4 位数字—模拟转换电路。它可将4 位二进制数字信号转换为模拟信号。 R f=26kΩ,R=4kΩ,求当[u1u2u3u4]=[1110]和[u1u2u3u4]=[0010]时,输出电压u0。 三、实验结果 1、A/D转换实验

2、D/A转换实验 被选模拟通道输入 模拟 量 地址输出数字量 IN V1(V) A2A1 A0D7 D6 D5 D4 D3 D2 D1 D0 十进制IN0 4.5 0 0 0 0 1 1 1 0 0 1 1 115 IN1 4.0 0 0 1 0 1 1 0 0 1 1 0 102 IN2 3.5 0 1 0 1 0 1 0 1 0 0 1 89 IN3 3.0 0 1 1 0 1 0 0 1 1 0 0 76 IN4 2.5 1 0 0 0 0 1 1 1 1 1 1 63 IN5 2.0 1 0 1 0 0 1 1 0 0 1 1 51 IN6 1.5 1 1 0 0 0 1 0 0 1 1 0 38 IN7 1.0 1 1 1 0 0 0 1 1 0 0 1 25

数字逻辑实验报告模板

实验名称: 数字逻辑实 验 系 别: 年 级: 专 业: 班 级: 学 号: 姓 名: 成 绩: 任课教师: 2016 年 5 月 21 日 试验一门电路逻辑功能及测试

1、实验目的 1、熟悉门电路逻辑功能 2、熟悉数字逻辑学习机及示波器的使用 2、实验仪器及材料 器件: 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 3、预习要求 1、复习门电路工作原理及相应的逻辑表达式 2、熟悉所用集成电路的引线位置及各引线用途 3、了解双踪示波器使用方法 4、实验内容 实验前先检查机器电源是否正常。 1、测试门电路逻辑功能 (1)选用双四输入与非门74LS20一只,插入电路板,连线后根据芯片图检测门电路功能是否正确。 (2)将电平开关按表1.1置位,分别测出输出电压及逻辑状态。 表1.1 输入输出 1234Y H H H H L H H H L L H H L L L H

L L L L 2、 异或门逻辑功能测试 (1)二输入四异或门电路74LS86,按图1.2接线,输入端1,2,4,5接电平开关,输出端A、B、y接电平显示发光二 极管。 (2)将电平开关按表1.2置位,将结果填入表中。 输入 输出 A B Y L L H L H H H H H H L H L L L L L L H L H H L H 3、逻辑电路的逻辑关系 (1)用74LS00按图1.3,1.4接线,将输入输出的逻辑关系分别填入表1.3,1.4中。

(2)写出上面两个电路逻辑表达式。 5、思考 怎样判断门电路逻辑功能是否正常?

南邮课程设计实验报告

课程设计I报告 题目:课程设计 班级:44 姓名:范海霞 指导教师:黄双颖 职称: 成绩: 通达学院 2015 年 1 月 4 日

一:SPSS的安装和使用 在PC机上安装SPSS软件,打开软件: 基本统计分析功能包括描述统计和行列计算,还包括在基本分析中最受欢迎的常见统计功能,如汇总、计数、交叉分析、分类比较、描述性统计、因子分析、回归分析及聚类分析等等。具体如下: 1.数据访问、数据准备、数据管理与输出管理; 2.描述统计和探索分析:频数、描述、集中趋势和离散趋势分析、分布分析与查看、正态性检验与正态转换、均值的置信区间估计; 3.交叉表:计数;行、列和总计百分比;独立性检验;定类变量和定序变量的相关性测度; 4.二元统计:均值比较、T检验、单因素方差分析; 5.相关分析:双变量相关分析、偏相关分析、距离分析; 6.线性回归分析:自动线性建模、线性回归、Ordinal回归—PLUM、曲线估计; 7.非参数检验:单一样本检验、双重相关样本检验、K重相关样本检验、双重独立样本检验、K重独立样本检验; 8.多重响应分析:交叉表、频数表; 9.预测数值结果和区分群体:K-means聚类分析、分级聚类分析、两步聚类分析、快速聚类分析、因子分析、主成分分析、最近邻元素分析; 10. 判别分析; 11.尺度分析; 12. 报告:各种报告、记录摘要、图表功能(分类图表、条型图、线型图、面积图、高低图、箱线图、散点图、质量控制图、诊断和探测图等); 13.数据管理、数据转换与文件管理; 二.数据文件的处理 SPSS数据文件是一种结构性数据文件,由数据的结构和数据的内容两部分构成,也可以说由变量和观测两部分构成。定义一个变量至少要定义它的两个属性,即变量名和变量类型其他属性可以暂时采用系统默认值,待以后分析过程中如果有需要再对其进行设置。在spss数据编辑窗口中单击“变量视窗”标签,进入变量视窗界面,即可对变量的各个属性进行设置。 1.创建一个数据文件数据 (1)选择菜单【文件】→【新建】→【数据】新建一个数据文件,进入数据编辑窗口。窗口顶部标题为“PASW Statistics数据编辑器”。 (2)单击左下角【变量视窗】标签进入变量视图界面,根据试验的设计定义每个变量类型。

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

彭耀峰第七周电路实验报告

实验报告 课程名称: 电路与电子技术实验 2 指导老师: 孙盾 成绩:__________________ 实验名称: 集成运算放大器指标测试 实验类型: 同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一、实验目的 1、加深对集成运算放大器特性和参数的理解; 2、学习集成运算放大器主要性能指标的测试方法 二、实验内容和原理 集成运算放大器是一种高增益的直接耦合放大电路,在理想情况下,集成运放的Aod =∞、Ri =∞、V IO =0、 I IO =0、K CMR =∞。但是实际上并不存在理想的集成运算放大器。为了解实际运放与理想运放的差别,以便正确使用集成运放大器,有必要研究其实际特性,并对其主要指标进行测试。 1、输入失调电压V IO :输入信号为0时,输出端出现的电压折算到同相输入端的数值。电路如图,用万用表测出V O1,由12 11 O IO V R R R V += ,得出V IO 。 2、输入失调电流:是指当输入信号为0时,运放的两个输入端的基极偏置电流之差,反映了运放内部差动输入级两个晶体管β的失配度,电路如图,用万用表测出V O2,由 b O O IO R R R R V V I )(| |211 12+-= 计算得出I IO ; 专业:电气1304 姓名:彭耀峰 学号:3130103174 日期:2015.4.21 地点:东3-211 D1_ D1

3、输入偏置电流I IB :为了使运放输入级放大器工作在线性区,所必须输入的一个直流电流,实验电路如图,当S 1 断开、S 2 闭合时,测得运放输出电压为V O3,当S 1闭合、S 2断开时,测得运放输出电压为V O4,则))(( 132 11 O O b BN V V R R R R I -+=, ))(( -142 11 O O b BP V V R R R R I -+=,两式相减得 b 211 43)() (21)(21R R R R V V I I I O O BP BN IB +-=+= 4、开环差模电压放大倍数Aod :集成运放的开环差模电压放大倍数Aod 可以采用直流信号源进行测量,但为了测试方便,通常采用低频(如几十赫兹以下)交流信号进行测量。具体的测量方法很多,一般采用同时引入直流反馈和交流反馈的测试方法,如图

重庆大学汇编实验报告3

《汇编语言程序设计》实验报告 年级、专业、班级姓名 实验题目实验3:汇编程序的循环结构的使用 实验时间2013年4月15 实验地点DS1421 实验成绩实验性质□验证性 设计性□综合性教师评价: □算法/实验过程正确;□源程序/实验内容提交□程序结构/实验步骤合理;□实验结果正确;□语法、语义正确;□报告规范; 其他: 评价教师签名: 一、实验目的 通过一个排序算法,来熟悉和掌握利用汇编语言实现循环处理能力的程序。 二、实验项目内容 1 编写一个整数数组内的元素排序的程序 2 需要排序数组大小为10个DW的整数 3 按照从低到高输出这10个数字 4 要求撰写必要程序模块设计图和主要的流程 三、实验过程或算法(源程序) assume cs:code,ds:data data segment dw 1234h,2a45h,345bh,45c7h,5678h,4321h,5432h,6543h,7654h,0d765h table db '0123456789abcde' data ends stack segment db 32 dup(0) stack ends code segment start: mov ax,data mov ds,ax

mov di,0 mov ax,stack mov ss,ax mov sp,32 mov cx,9 s0: mov ax,ds:[si] push cx s1: add si,2 cmp ax,ds:[si] jb change s2: loop s1 xchg ax,ds:[si] xchg ax,ds:[di] mov si,0 mov di,0 pop cx loop s0 mov ax,data mov ds,ax mov si,0 call show mov ax,4c00h int 21h change: mov ax,ds:[si] mov di,si jmp s2 show: push es push di push ax push bx push cx push dx mov ax,0b800h mov es,ax mov di,160*12+2*10 mov cx,10 show1: push cx

EDA数字逻辑实验报告

实验报告 课程名称_数字逻辑及系统设计实验学生学院____计算机____________ 专业班级软件2012(2)班 _ 学号 3112006177 学生姓名陈海兵 指导教师_____林小平 _________ 2013年 12 月24 日

一、 实验目的 1. 熟练掌握基本门电路的主要用途以及验证它们的逻辑功能。 2. 熟练掌握常用组合逻辑电路的基本原理及其逻辑电路功能。 3. 熟练掌握常用时序逻辑电路的基本原理及其逻辑电路功能。 4. 掌握Libero IDE 基于FPGA 的设计流程。 5. 熟悉FPGA 的设计与开发流程。熟悉芯片烧录的流程及步骤。 二、 实验要求 1. 要求每人能独立完成实验。严禁抄袭。 2. 能独立搭建Libero IDE 软件基础环境,掌握FPGA 的开发流程。 3. 按照实验指导书中P56-69的实验步骤进行设计,每一步骤均需要截图显示。 4. 完成3次仿真(综合前,综合后,布局布线后),并将仿真波形截图显示。 5. 将程序烧录到Actel Proasic3 A3P030 FPGA 核心板,在数字逻辑及系统实验箱上完成连 线,验证代码的正确性。 6. 纸制版的封面单面打印,其他页面必须双面打印。全班刻一张光盘。 三、 实验内容 1. 设计题目:用3-8译码器74HC138实现举重比赛的裁判表决电路的组合逻辑函数 ,写出模块代码和测试平台代码。 2. 74HC138功能表参照教材中P53表2-9,引脚图参照实验指导书中P30图2-16。 3. 把每一个步骤的实验结果截图,按实验指导书中P6图1-7中所列FPGA 引脚,手工分 配引脚,最后通过烧录器烧录至FPGA 核心板上。 4. 按分配的引脚连线,实测相应功能并记录结果。 四、 实验结果与截图 1. 模块及测试平台代码清单。 模块代码 // 74HC138.v module decoder3_8_1(DataIn,Enable1,Enable2,Enable3,Eq,y); input [2:0]DataIn; input Enable1,Enable2,Enable3; output [7:0]Eq; reg [7:0]Eq; output y; reg y; integer I; always @(DataIn or Enable1 or Enable2 or Enable3) begin if(Enable1||Enable2||!Enable3) Eq=0; AC BC AB Y ++=

c课程设计实验报告

c课程设计实验报 告

中南大学 本科生课程设计(实践)任务书、设计报告 (C++程序设计) 题目时钟控件 学生姓名 指导教师 学院交通运输工程学院 专业班级 学生学号 计算机基础教学实验中心 9月7日 《C++程序设计基础》课程设计任务书

对象:粉冶、信息、能源、交通工程实验2101学生时间: .6 2周(18~19周) 指导教师:王小玲 1.课程设计的任务、性质与目的 本课程设计是在学完《C++程序设计基础》课程后,进行的一项综合程序设计。在设计当中学生综合“面向对象程序设计与结构化程序设计”的思想方法和知识点,编制一个小型的应用程序系统。经过此设计进一步提高学生的动手能力。并能使学生清楚的知道开发一个管理应用程序的思想、方法和流程。 2.课程设计的配套教材及参考书 ●《C++程序设计》,铁道出版社,主编杨长兴刘卫国。 ●《C++程序设计实践教程》,铁道出版社,主编刘卫国杨长兴。 ●《Visual C++ 课程设计案例精编》,中国水力电力出版社,严华峰等编著。 3.课程设计的内容及要求 (1)自己任选一个题目进行开发(如画笔、游戏程序、练习打字软件等),要求利用MFC 工具操作实现。 (2)也可选一个应用程序管理系统课题(如:通讯录管理系统;产品入库查询系统;学生成绩管理;图书管理 等);

设计所需数据库及数据库中的数据表,建立表之间的关系。 设计所选课题的系统主封面(系统开发题目、作者、指导教师、日期)。 设计进入系统的各级口令(如系统管理员口令,用户级口令)。 设计系统的主菜单。要求具备下列基本功能: ●数据的浏览和查询 ●数据的统计 ●数据的各种报表 ●打印输出 ●帮助系统 多种形式的窗体设计(至少有查询窗体、输入窗体) 注意:开发的应用程序工作量应保证在2周时间完成,工作量不能太少或太多。能够2人合作,但必须将各自的分工明确。 4.写出设计论文 论文基本内容及撰写顺序要求: ●内容摘要 ●系统开发设计思想 ●系统功能及系统设计介绍 ●系统开发的体会

相关主题
文本预览
相关文档 最新文档