当前位置:文档之家› 基于CAN总线的工程机械自动控制系统设计

基于CAN总线的工程机械自动控制系统设计

基于CAN总线的工程机械自动控制系统设计
基于CAN总线的工程机械自动控制系统设计

基于STC89C51的CAN总线点对点通信模块设计

基于STC89C51的CAN总线点对点通信模块设计 [导读]随着人们对总线对总线各方面要求的不断提高,总线上的系统数量越来越多,继而出现电路的复杂性提高、可靠性下降、成本增加等问题。为解决上述问题,文中阐述了基于SJAl000的CAN总线通信模块的实现方法,该方法以PCA82C250作为通信模块的总线收发器,以SITA-l000作为网络控制器。并以STCSTC89C5l单片机来完成基于STC89C5l的CAN通信硬件设计。文章还就平台的初始化、模块的发送和接收进行了设计和分析。通过测试分析证明,该系统可以达到CAN的通信要求,整个系统具有较高的实用性。 0 引言 现场总线是应用在生产最底层的一种总线型拓扑网络,是可用做现场控制系统直接与所有受控设备节点串行相连的通信网络。在工业自动化方面,其控制的现场范围可以从一台家电设备到一个车间、一个工厂。一般情况下,受控设备和网络所处的环境可能很特殊,对信号的干扰往往也是多方面的。但要求控制则必须实时性很强,这就决定了现场总线有别于一般的网络特点。此外,由于现场总线的设备通常是标准化和功能模块化,因而还具有设计简单、易于重构等特点。 1 CAN总线概述 CAN (Controller Area Network)即控制器局域网络,最初是由德国Bosch公司为汽车检测和控制系统而设计的。与一般的通信总线相比,CAN总线的数据通信具有突出的可靠性、实时性和灵活性。其良好的性能及独特的设计,使CAN总线越来越受到人们的重视。由于CAN总线本身的特点,其应用范围目前已不再局限于汽车行业,而向自动控制、航空航天、航海、过程工业、机械工业、纺织机械、农用机械、机器人、数控机床、医疗器械及传感器等领域发展。目前,CAN已经形成国际标准,并已被公认为几种最有前途的现场总线之一。它的直线通信距离最大可以达到l Mbps/30m.其它的节点数目取决于总线驱动电路,目前可以达到110个。 2 CAN系统硬件设计 图1所示是基于CAN2.0B协议的CAN系统硬件框图,该系统包括电源模块、MCU部分、CAN控制器、光电耦合器、CAN收发器和RS232接口。硬件系统MCU采用STC89C5l,CAN控制器采用SJAl000,CAN收发器采用PCA82C250,光耦隔离采用6N137。

通信与现场总线课程设计报告书

电气工程学院 通信与现场总线课程设计

目录 一:设计任务 (4) 理想模型: (4) 实验中用到的任务模型 (5) 二:力控软件平台建立的实验模型 (5) 三、实验设备与仪器 (6) 四、设计思路与过程 (6) 五、调试和功能 (13) 六、联机调试:C/S方式的远程控制 (26) 七、课设总结与心得 (29)

(一)本次课程设计题目: 通过三维力控组态软件实现对搅拌罐的网络控制 (二)主要容及要求 在组态软件Forecontrol V6.1平台上,通过工业以太网,分别以C/S方式(客户端/服务器)及B/S方式(浏览器/服务器)完成对SIEMENS的可编程序控制器通过工业现场总线PROFIBUS方式与2台SIEMENS MM440变频器控制的三相异步电机的实际工程平台,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 独立完成,承担系统设计、系统分析、组态软件的学习与编程、网络系统调试等任务,要求提供最终的解决程序(验收)和相关文件,并以报告论文方式说明实现的思路及工程应用前景。 (三)进度安排: (1)在第一次课堂上了解并知道了Forecontrol V6.1软件的初步使用。 (2)根据相关资料,熟悉并设计并完成客户端组态软件的实际工艺流程界面界面的绘制。 (3)对搅拌罐工程相关控制进行了编程。 (4)熟悉服务器端通信参数的要求,完成C/S的网络控制。 (4)3月30日在实验室完成整个系统的软件调试及最后联机调试。 (5)撰写设计报告。

通过三维力控组态软件实现 对搅拌罐的网络控制 一:设计任务 在组态软件Forecontrol V6.1平台上,通过工业以太网,分别以C/S方式(客户端/服务器)及B/S方式(浏览器/服务器)完成对SIEMENS的可编程序控制器通过工业现场总线PROFIBUS方式与2台SIEMENS MM440变频器控制的三相异步电机的实际工程平台,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 本次课程设计中,我们主要运用了C/S(客户端/服务器)方式,实现对搅拌罐PLC控制系统(含本地控制和远程控制)的网络控制。 理想模型:

CAN总线设计

微机应用课程设计报告 ` 题目:基于单片机的16*16点阵系统设计 专业: … 班级: 姓名: 学号: 地点: 时间: 指导老师:

~

摘要 现场总线是自动化领域的计算机网络,是当今自动化领域技术发展的热点之一。它以总线为纽带,将现场设备连接起来成为一个能够相互交换信息的控制网络,是一种双向串行多节点数字通信的系统。CAN总线也是现场总线的一种,它最初被应用于汽车的控制系统中,由于其卓越的性能,CAN总线的应用范围已不再局限于汽车工业中,而被广泛的用到自动控制、楼宇自动化、医疗设备等各个领域。 本文主要介绍一种基于CAN总线的控制系统,通过对这一系统的制作流程来说明CAN总线的简单应用,文章主要是对本控制系统的三个硬件模块进行介绍及模块中相关芯片的应用,同时本文也对软件的编写进行了说明。 关键字:现场总线; CAN总线;单片机;控制系统

目录 1 绪论 (1) CAN总线的简单介绍 (1) CAN总线的优势 (1) 网络各节点之间的数据通信实时性强 (2) 缩短了开发周期 (2) 已形成国际标准的现场总线 (2) 最有前途的现场总线之一 (2) 2 硬件电路设计 (3) 单片机模块 (3) STC89C52主要特性如下: (4) STC89C52RC单片机的工作模式 (5) CAN总线控制器模块 (6) SJA1000简介 (6) PCA82C250简介 (9) 通信模块和外围接口 (11) 通信模块 (11) 外围接口 (12) 3 CAN总线控制系统软件设计 (13) 初始化程序 (13) 数据的接收和发送功能 (15) 发送数据 (15) 接收数据 (17) 4 总结 (19) 参考文献 (20) 附录一 (21)

现场总线设计报告

# 重庆科技学院 课程设计报告 院(系):_电气与信息工程学院专业班级: 测控普2007-01 学生姓名: 黄亮学号: 99 设计地点(单位)__ I502________ __ ______ 设计题目:__基于WinCC和S7-300的温度测控系统__ * 完成日期:2010年 12 月 10 日 指导教师评语: _______________________________________ __________________________________________________________________________________ __________________________________________________________________________________ ________________________________ __________ _ 成绩(五级记分制):______ __________ 指导教师(签字):________ ________ <

目录

1课程设计任务书 设计题目:基于WinCC和S7-300的温度测控系统 教研室主任:指导教师:胡文金、刘显荣 2010 年 11月 26 日

2温度控制对象概述 温度是流程工业中极为常见的热工参数,对它的控制也是过程控制的一个重点。随着生产力的发展和对温度控制精度要求的不断提高,温控系统的控制技术得到了迅速发展,能否成功地将温度控制在所需范围内,关系到整个活动的成败,由于控制对象的多样性和复杂性,导致采用的温控手段的多样性,且控制对象普遍具有时间常数大、纯滞后时间长、时变性较明显等特点,给控制带来一定难度。 在本次设计中采用的是TKPLC-2型温度加热器。 功能特点与技术参数 TKPLC-2型温度加热器是包括三个模块,电压驱动模块、电阻丝加热模块以及电流输出模块,温度加热器功率为50W。电压输入为0-5V,电流采用标准的DDZⅢ型4-20mA输出信号,温度传感器采用Pt100,测温范围0-200℃,Pt100采用电桥连接。电阻丝温度变化大概为0-100℃,因此满足实验的要求。 控制手段 温度控制对象由于存在比较大的滞后,控制快速性以及控制精度较难权衡,因此控制比较复杂。针对各种温度控制对象,已经有了各种不同的温度控制方法,包括最经典的PID控制算法,模糊控制算法,神经网络控制,最优控制等等,这些控制算法各有各自的特点及优势。 由于实验的条件以及自身的知识水平,采用最经典的PID控制算法作为本次课程设计的核心温度控制算法。整个控制流程为:由温度加热器的自带的温度传感器Pt100实时测量温度,再由温度加热器内部调理电路,将温度信号转换为4-20mA的电流信号,电流信号通过电缆传送到S7300型号PLC的模拟量输入端,通过PLC内部自带的FB58温度控制PID模块控制,然后通过PLC的模拟量输出口采用0-10V(实际程序控制只需输出0-5V)方式电压输出控制温度加热器的加热电压,达到控制温度的目的。此外实验中还通过WinCC组态软件来实时监控温度控制过程,包括实时温度,PID三个参数(Kp、Ti、Td),以及输出控制流量,绘制实时曲线,棒图等。PLC通过DP总线与PC连接,WinCC组态软件通过配置PG接口与PLC连接,达到数据传输的目的。 以此,一个PID温度控制以及实施监控的控制的系统叙述完毕。

CAN总线系统智能节点设计

https://www.doczj.com/doc/b212837947.html, CAN总线系统智能节点设计 作者:邹继军饶运涛 信息工程系 华东地质学院 摘要:CAN总线上的节点是网络上的信息接收和发送站;智能节点能通过编程设置工作方式、ID地址、波特率等参数。它主要由单片机和可编程的CAN通信控制器组成。本文介绍这类节点的硬件设计和软件设计;其中软件设计包括SJA1000的初始化、发送和接收等应用中的最基本的模块子程序。 关键词:总线节点CAN 控制器 引言: CAN (Controller Area Network)总线,又称控制器局域网,是Bosch公司在现代汽车技术中领先推出的一种多主机局部网,由于其卓越的性能、极高的可靠性、独特灵活的设计和低廉的价格,现已广泛应用于工业现场控制、智能大厦、小区安防、交通工具、医疗仪器、环境监控等众多领域。CAN已被公认为几种最有前途的现场总线之一。CAN总线规范已被ISO国际标准组织制订为国际标准,CAN 协议也是建立在国际标准组织的开放系统互连参考模型基础上的,主要工作在数据链路层和物理层。用户可在其基础上开发适合系统实际需要的应用层通信协议,但由于CAN总线极高的可靠性,从而使应用层通信协议得以大大简化。 CAN总线与其他几种现场总线比较而言,是最容易实现、价格最为低廉的一种,但其性能并不比其他现场总线差。这也是目前CAN总线在众多领域被广泛采用的原因。节点是网络上信息的接收和发送站,所谓智能节点是由微处理器和可编程的CAN控制芯片组成,它们有两者合二为一的,如芯片P8XC592,也有如本文介绍的,独立的通信控制芯片与单片机接口,后者的优点是比较灵活。当然,也

CAN总线网络设计

1 引言 can(controller area network)即控制器局域网络,最初是由德国bosch公司为解决汽车监控系统中的自动化系统集成而设计的数字信号通信协议,属于总线式串行通信网络。由于can总线自身的特点,其应用领域由汽车行业扩展到过程控制、机械制造、机器人和楼宇自动化等领域,被公认为最有发展前景的现场总线之一。 can总线系统网络拓扑结构采用总线式结构,其结构简单、成本低,并且采用无源抽头连接,系统可靠性高。本设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等持点。 2 系统总体方案设计 整个can网络由上位机(上位机也是网络节点)和各网络节点组成(见图1)。上位机采用工控机或通用计算机,它不仅可以使用普通pc机的丰富软件,而且采用了许多保护措施,保证了安全可靠的运行,工控机特别适合于工业控制环境恶劣条件下的使用。上位机通过can总线适配卡与各网络节点进行信息交换,负责对整个系统进行监控和给下位机发送各种操作控制命令和设定参数。 网络节点由传感器接口、下位机、can控制器和can收发器组成,通过can收发器与总线相连,接收上位机的设置和命令。传感器接口把采集到的现场信号经过网络节点处理后,由can收发器经由can总线与上位机进行数据交换,上位机对传感器检测到的现场信号做进一步分析、处理或存储,完成系统的在线检测,计算机分析与控制。本设计can总线传输介质采用双绞线。 图 1 can总线网络系统结构 3 can总线智能网络节点硬件设计 本文给出以arm7tdmi内核philips公司的lpc2119芯片作为核心构成的智能节点电路设计。该智能节点的电路原理图如图2所示。该智能节点的设计在保证系统可靠工作和降低成本的条件下,具有通用性、实时性和可扩展性等特点,下面分别对电路的各部分做进一步

基于的CAN总线智能传感器节点设计精修订

基于的C A N总线智能传感器节点设计 SANY标准化小组 #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

基于82527的CAN总线智能传感器节点设计 摘要:介绍一种以8051微控制器和82527独立CAN总线控制器为核心组成的CAN总线智能传感器节点的设计方法,并给出其硬件原理图和初始化程序。 关键词:CAN总线 82527 单片机数据采集智能节点 引言 CAN(Controller Area Network,控制局域网)属于工业现场总线,是德国Bosch公司20世纪80年代初作为解决现代汽车中众多的控制与测试仪器间的数据交换而开发的一种通信协议。1993年11月,ISO正式颁布了高速通信控制局域网(CAN)的国际标准(ISO11898)。CAN总线系统中现场数据的采集由传感器完成,目前,带有CAN总线接口的传感器种类还不多,价格也较贵。本文给出一种由8051单片机和82527独立CAN总线控制器为核心构成的智能节点电路,在普通传感器基础上形成可接收8路模拟量输入和智能传感器节点。

1 独立CAN总线控制器82527介绍 82527是Intel公司生产的独立CAN总线控制器,可通过并行总线与Intel和Motrorola的控制器接口;支持CAN规程标准,具有接收和发送功能并可完成报文滤波。82527采用CHMOS 5V工艺制造,44脚PLCC封装,使用温度为-44~+125℃,其引脚的排列和定义参见参考文献[1]。 (1)82527的时钟信号 82527的运行由2种时钟控制:系统时钟SCLK和寄存器时钟MCLK。SCLK 由外部晶振获得,MCLK对SCLK分频获得。CAN总线的位定时依据SCLK的频率,而MCLK为寄存器操作提供时钟。SCLK频率可以等于外部晶振XTAL,也可以是其频率的1/2;MCLK的频率可以等于SCLK或是其频率的1/2。系统复位后的默认设置是SCLK=XTAL/2,MCLK=SCLK/2。 (2)82527的工作模式 82527有5种工作模式:Intel方式8位分时复用模式;Intel方式16位分时复用模式;串行接口模式;非Intel方式8位分时复用模式;8位非分时复用模式。本文应用Intel方式8位分时复用模式,此时82527的30和44脚接地。

课程设计--CAN总线

课程设计 题目 CAN通信 二级学院电子信息与自动化 专业自动化 班级 107070103 学生姓名学号 指导教师熊文 考核项目 设计50分平时 成绩 20分 答辩30分 设计质量 20分 创新设计 15分 报告质量 15分 熟练程度 20分 个人素质 10分 得分 总分考核等级教师签名

摘要: CAN总线是控制器局域网总线(contr01ler AreaNetwork)的简称。属于现场总线的范畴,是一种有效支持分布式控制或实时控制的串行通信网络。由于其高性能、高可靠性及独立的设计而被广泛应用于工业现场控制系统中。SJAl000是一个独立的CAN控制器,PCA82C200的硬件和软件都兼容,具有一系列先进的性能,特别在系统优化、诊断和维护方面,因此,SJAl000将会替代PCA82C200。SJAl000支持直接连接到两个著名的微型控制器系列80C51和68xx。下面以单片机AT89C52和SJAl000为例,介绍CAN总线模块的硬件设计和CAN通信软件的基本设计方法。 关键词:AT89S52 CAN通信 SJA1000

目录: (一) 背景: (二) CAN介绍 (三) SJA1000内部结构和功能简介 (四) 硬件电路图 (五) 初始化程序 (六) 测试 (七) 总结

一背景: CAN(Controller Area Network)数据总线是一种极适于汽车环境的汽车局域网。CAN总线是德国Bosch公司为解决汽车监控系统中的 复杂技术难题而设计的数字信号通信协议,它属于总线式串行通信网 络。由于采用了许多新技术和独特的设计思想,与同类车载网络相比,CAN总线在数据传输方面具有可靠、实时和灵活的优点。 1991年9月Philips半导体公司制定并发布了CAN技术规范(版本 2.0),该技术规范包括A部分和B两部分,其中2.0A给出了CAN报文的标 准格式;2.0B给出了标准和扩展两种格式。此后,1993年11月ISO正 式颁布了道路交通运输工具一数据信息交换一高速通信控制器局域 网(CAN)的国际标准IS011898,为控制器局域网的标准化和规范化铺 平了道路。 二CAN介绍 CAN通信的特点: (1) CAN是到目前为止唯一具有国际标准且成本较低的现场总线; (2) CAN废除了传统总线的站地址编码,对通信数据块进行编码,为 多主方式工作,不分主从,通信方式灵活,通过报文标识符通信,可 使不同的节点同时接收到相同的数据,无需站地址等节点信息。 (3) CAN采用非破坏性总线仲裁技术,当多个节点同时向总线发送信 息时,优先级较低的节点会主动地退出发送,而最高优先级的节点可 不受影响地继续传输数据,从而大大节省了总线冲突仲裁时间。尤其 是在网络负载很重的情况下也不会出现网络瘫痪情况(以太网则有可

基于CAN总线智能节点设计

基于CAN总线智能节点设计 The design of intelligent nodes Based on CAN Bus 李光忠1,吴士涛2 LI GUANG-ZHONG,WU SHI-TAO (1. 山东农业大学信息科学与工程学院,山东 泰安 271018; 2. 山东科技大学,山东 泰安 271000) (1. College of Information Science and Engineering, Shandong Agriculture University,Taian 271018 China;2. Shandong University of Science and Technology,Taian 271000 China) 摘要:CAN总线是一种应用极为普及的现场总线。文中提出了一种CAN总线通信接口的设计方案。CAN总线智能节点用单片机AT89S52和SJA1000控制器为核心组成。分别从硬件电路设计和SJA1000软件初始化、发送、接收设计方面进行了分析,实现了相应的网络控制功能,具有较高的实用性。 关键词:CAN总线,智能节点,系统设计 中图分类号:TP336 文献标识码:B Abstract:Can-Bus is popular as a field Bus.In this paper,a new modern CAN-bus communication interface is designed. Intelligent node of CAN-bus is mainly made up of MCU AT89S52 and SJA1000 controller.The hardware principle and the programming methods for initialization,transmitting and receiving modules of SJA1000 are introduced.The design can perform the control function.It is a practical design. Key words:CAN Bus,Intelligent node,system design 0 引言 CAN是控制器局域网络(Controller Area Network)的简称,是一种有效支持分布式控制或实时控制的串行通信网络。CAN总线作为智能设备的联系纽带,把挂在总线上作为网络节点的智能设备连接为网络系统,并进一步构成自动化系统,实现基本控制的综合自动化系统。本文给出了一种基于AT89S52和SJA1000的CAN总线智能节点设计方案,并对软硬件设计进行了相应的说明。 1 系统硬件设计 智能节点能够通过监测设备采集的现场数据,并根据接收到的命令或者主动将数据发送到CAN总线。通过事先设置验收码和验收屏蔽码可以控制智能节点从总线上接收数据或命令。 CAN总线系统智能节点硬件电路由3部分构成:微控制器AT89S52、独立CAN通信控制器SJA1000和CAN总线驱动器82C250。 微处理器AT89S52负责SJA1000的初始化,通过控制SJA1000实现数据的接受和发送等通信任务。SJA1000作为独立CAN总线控制器具有完成CAN高性能通信协议所要求的全部必要特性。使用简单总线连接的SJA1000可完成物理层和数据链路层的所有功能。其硬件与软件设计包括与基本CAN工作模式(BasicCAN)兼容,同时它新增加的增强CAN工作模式(PeliCAN)可以支持CAN 2.0A及CAN 2.0B协议。CAN总线收发器PCA82C250提供协议控制器和物理传输线路之间的接口。它可以用高达1Mb/s的速率在两条有差动电压的总线电线上传输数据。 硬件电路如图1所示。

CAN总线设计(最终版)(1)

CAN-USB适配器设计 ***** 指导老师:*** 学院名称:***** 专业班级:**** 设计提交日期:**年**月 摘要 随着现场总线技术和计算机外设接口技术的发展,现场总线与计算机快速有效的连接又有了更多的方案。USB作为一种新型的接口技术,以其简单易用、速度快等特点而备受青睐。本文介绍了一种基于新型USB接口芯片CH372的CAN总线网络适配器系统的设计,提出了一种使用USB接口实现CAN总线网络与计算机连接

的方案。利用芯片CH372可在不了解任何USB协议或固件程序甚至驱动程序的情况下,轻松地将并口或串口产品升级到USB接口。该系统在工业现场较之以往的系统,可以更加灵活,高速,高效地完成大量数据交换,并可应用于多种控制系统之中,具有很大的应用价值。 关键词:USB;CH372;CAN;SJA100;适配器 目录 1.设计思想 (3) 2.CAN总线与USB的转换概述 (4) 3. 适配器硬件接口设计 (5) 3.1 USB接口电路 (5)

3.2 CAN总线接口电路 (7) 4.USB通用设备接口芯片CH372 (8) 4.1 概述 (8) 4.2 引脚功能说明 (9) 4.3 内部结构 (9) 4.4 命令 (10) 5.软件设计 (10) 5.1 概述 (10) 5.2主监控程序设计 (12) 5.3 CAN和USB接口芯片的初始化 (13) 5.4 CAN报文的发送 (15) 5.5 CAN报文的接收 (17) 5.6.自检过程 (19) 5.7 USB下传子程序设计 (20) 5.8 USB上传子程序设计 (22) 5.9.USB—CAN转换器计算机端软件设计 (23) 6. 抗干扰措施 (25) 7. 估算成本 (26) 8. 应用实例介绍 (27) 9 总结及设计心得 (28) 10 参考文献 (28) 1 设计思想 现场总线网络技术的实现需要与计算机相结合。目前,在微机上扩展CAN总线接口设备一般采用PCI总线或者RS-232总线。PCI虽然仍是高速外设与计算机接口的主要渠道,但其主要缺点是占用有限的系统资源、扩展槽地址;中断资源有限;并且插拔不方便;价格较贵;而且设计复杂、需有高质量的驱动程序保证系统的稳定;且无法用于便携式计算机的扩

现场总线控制系统设计

现场总线控制系统设计 发表时间:2019-06-10T16:29:37.333Z 来源:《防护工程》2019年第5期作者:华启国 [导读] 根据IEC61158标准定义:现场总线是指安装在制造或过程区域的现场装置与控制室内的自动装置之间的数字式、串行、多点通信的数据总线。 安徽天康(集团)股份有限公司 摘要:根据笔者开发项目现场总线系统的设计和试运经验,全面介绍了现场总线系统的设计原则和方法。同时也介绍了与现场总线控制系统有关的术语和概念。设计原则主要涉及系统的开放性、有效性、安全性、有效性与安全性平衡以及经济适用性等原则;设计方法包含了设计周期的两个部分———概念设计和详细设计。详细设计主要涉及网络设计、设备选型、系统组态及文档创建等。为同类系统的设计提供了可以借鉴的原则和方法。 关键词:现场总线控制系统;有效性;安全性;设计过程 引言 根据IEC61158标准定义:现场总线是指安装在制造或过程区域的现场装置与控制室内的自动装置之间的数字式、串行、多点通信的数据总线。具有全数字化、全分布、双向传输、自诊断、低成本、开放性、互操作性、智能化等特点,在石油、石化等领域获得了成功的应用。目前现场总线标准尚未统一,市场上主流产品有40种之多。现场总线控制系统的工程设计是根据生产工艺特点从市场上选择一种符合生产要求的现场总线产品并根据设计原则构建现场总线控制系统。笔者根据多年多套现场总线控制系统的设计、安装和试运经验,以苏丹穆格来得油田开发项目所使用的基金会现场总线系统为例,介绍现场总线控制系统的设计原则和设计方法,为现场总线控制系统的设计提供可以借鉴的经验。 1现场总线控制系统设计原则 1.1有效性原则 有效性是回路正常运行时间占总时间的百分比,其目的是尽量减少生产过程的损失。获得高有效性的工程实现方法有分散、诊断和冗余。分散包括网络分散、结构分散、设备物理位置分散、控制回路分散和有限停车等。冗余要求控制器冗余、链路设备冗余、I/O卡件冗余、通信模件冗余、连接介质冗余、变送器冗余和电源冗余等。除此之外,还有冗余分离、备份主设备等辅助备份技术。系统诊断是指设计中对现场总线设备丰富的状态字节和判断能力的利用,从而迅速确定过程问题、故障设备;减少平均修复时间、系统错误停车;实现备份设备间的正确切换等。另外,还有短路保护、本质安全、故障安全等辅助技术。容错是提高系统有效性的重要手段,容错是指系统在出现故障时仍能正常工作,同时又能查出故障的能力。容错包括三种功能:故障检测、故障鉴别、故障隔离。冗余是实现容错的工程方法。提高系统的诊断覆盖率水平,也可以提高系统有效性。有效性不影响系统的安全性,但系统的有效性低可能会导致装置和工厂无法进行正常生产。 1.2 安全性原则 安全性是指系统在规定的条件下和规定的时间内完成规定功能的能力。总线控制系统的安全性原则不同于安全相关系统。工程化的设计方法有现场系统诊断功能的利用、正确组态以及安全联锁功能的分散等。诊断包括通信故障诊断、取代差错检查、通信故障停车以及操作员通知等。正确组态包括设备组态和联锁组态。此处的分散是指将停车联锁功能置于现场总线控制设备或去往阀门定位器的通信中,从而实现安全分散。附加的安全性实现方法还有执行器位置反馈引用、动力源丢失保持以及冗余外输设计中的不一致检查等。 1.3经济适用原则 提高系统的有效性和可靠性,必然增加系统的成本。多余的冗余以及富余的安全等级是一种浪费。科学的设计方法就是根据实际的生产过程,选择合理的系统冗余度。现场总线控制系统具有强大的诊断判断功能,合理地组态,充分地利用可以在提高安全性,增加有效性的前提下,实现设计系统经济适用及够用的原则。 2 现场总线控制系统的设计方法 现场总线控制系统的工程设计与常规控制系统一样分为概念设计和详细设计两个阶段。 2.1 概念设计 现场总线变送器具有多通道和多制式的特点,可实现传统系统中多个变送器的功能。现场总线阀门定位器自带软限位参数,可减少系统的离散输入。现场总线变送器自带控制功能模块,统一了总线系统控制点和测量点。模拟和数字信号在现场总线系统中都以数字信号出现。因此,总线系统对模拟和数字以及输入和输出信号不再区别,工程设计初期不再像传统DCS那样分别计算检测、报警及控制“点”的数量,而只需根据工艺过程对控制系统的要求计算检测和控制设备的总数,并根据设备的物理和逻辑分布确定现场总线系统的初步拓扑结构,通信端口数,链路设备或接口模板数。并以此为基础生成系统设备物资清单。 2.2 详细设计 2.2.1 主站级网络设计 操作员对整个工厂的监视依赖于主站级网络的建立和运行,在控制回路使用位于不同现场级网络的设备时,跨越主站级网络的桥接必须使用。为此,工程设计时操作员站应备份,网络介质应冗余,集线器电源应独立,以确保系统有效性。主站级网络冗余有三个层次:介质冗余、整体网络冗余和以太网设备冗余。介质冗余完全工作在物理介质层,与使用协议无关。设备和端口的冗余是在较介质冗余更高的层次上实施的,与使用协议有关。 2.2.2 现场级网络设计 现场网络的拓扑结构主要有总线型和树形两种。区域内设备密度较低且分布范围较广时宜选用总线拓扑结构。根据设备清单确定现场网络数量,并计算网络端口和现场电源数量。依据选定的拓扑结构和电缆类型选择安装附件,原则上一条支线只连接一台设备。尽可能地让同一回路的设备处于同一网段中,避免不同网段间使用桥接功能,从而提高性能。现场级网络的设计应以贯穿故障条件下对系统影响最小为原则。现代工厂是区域和车间的合理划分,区域应有属于主站级网络的独立子网,子网由路由器相连。即使在冗余控制器或链路系统中,中央控

CAN总线系统设计中的几个问题

CAN总线系统设计中的几个问题 北京航空航天大学管理学院(100083) 邬宽明 摘 要:论述了CAN总线系统设计中系统时钟和位时间的选定、CAN中断服务程序编制以及较长报文拼接等问题。 关键词:CAN总线设计 系统时钟 位时间 中断服务 报文拼接 CAN总线是德国Bo sch公司在80年代初为解决现代汽车中众多的控制与测试仪器之间的数据交换而开发的一种串行数据通信总线,它是一种多主总线系统,通信介质可以是双绞线、同轴电缆或光导纤维。通信速率可达1M bp s。CAN总线通信控制器中集成了CAN协议的物理层和数据链路层功能,可完成对通信数据的成帧处理,包括零位的插入 删除、数据块编码、循环冗余检验、优先级判别等项工作。CAN协议的一个最大特点是废除了传统的站地址编码,而代之以对通信数据块进行编码。采用这种方法的优点是可使网络内的节点个数在理论上不受限制,数据块的标识码可由11位(按CAN技术规范210A)或29位(按CAN 技术规范210B)二进制数组成,因此可以定义211或229个不同的数据块。这种按数据块编码的方式,还可使不同的节点同时接收到相同的数据,这一点在分布式控制系统中非常有用。数据段长度最多为8个字节,可满足通常工业领域中控制命令、工作状态及测试数据的一般要求。同时,8个字节不会占用总线时间过长,从而保证了通信的实时性。CAN协议采用CRC检验并可提供相应的错误处理功能,保证了数据通信的可靠性。CAN的这些卓越特性,极高的可靠性和独特的设计,特别适合工业过程监控设备的互连,因此,越来越受到工业界重视,并已被公认为最有前途的现场总线之一。1993年11月ISO正式颁布了道路交通运载工具—数字信息交换—高速通信控制器局部网(CAN)国际标准(ISO11898)。为控制器局部网标准化、规范化推广铺平了道路。可以预料,控制器局部网在我国迅速发展和普及是指日可待的。 本文分别论述CAN总线系统设计中经常遇到的系统时钟和位时间如何选定、CAN中断服务程序如何安排以及较长报文如何拼接等几个问题。 1 系统时钟和位时间的选定 在CAN控制器中提供两个总线定时寄存器,其中总线定时寄存器0(BR T0)可决定波特率予分频(BR P)和同步跳转宽度(SJW)的数值,其低六位(D5~D0)用来确定系统时钟,而其高二位(D7,D6)用来确定同步跳转宽度(SJW)。总线定时寄存器1(BR T1)可决定位周期宽度、采样点位置和在每个采样点进行采样的次数,其D3~D0用于T SEG1,而D6~D4用于T SEG2并按下式计算: t TSEG1=t SCL(8T SEG1.3+4T SEG1.2+2T SEG1.1 +T SEG1.0+1) t TSEG2=t SCL(4T SEG2.2+2T SEG2.1+T SEG2.0+1) 图1 每位时间和采样点位置T SEG1和T SEG2可 确定每位的时钟周期数目 和采样点位置,如图1所 示 若P8XC592复位请求 位被置为高,这两个寄存器 均可被访问(读 写)。系统时 钟t SCL可使用下列等式计算: t SCL=2t CL K(32BR P.5+16BR P.4+8BR P.3+4BR P.2 +2BR P.1+BR P.0+1) 其中:t CL K为P8XC592振荡器的时钟周期 实例:设晶体振荡器频率为16M H Z,BTR0=00H, BTR1=14H,计算系统时钟和位时间 由给定BTR0和BR T1值可知: BR P.5,BR P.4,BR P.3,BR P.2,BR P.1和BR P10均为0,另外,除T SEG112和T SEG210为1外,其余系数均为01因此有, t SCL=2t CL K(32×0+16×0+8×0+4×0+2×0 +0+1)=2t CL K t TSEG1=t SCL(8×0+4×0+2×0+1)=5t SCL t TSEG2=t SCL(4×0+2×0+1×0+1)=2t SCL t b=(1+5+2)t SCL=2×8×t CL K=1M bp s 此时同步跳转宽度(SJW)为 t SJW=t SCL(2SJW.1+SJW.01+1)=t SCL即1 8(Λs)实例2:设晶体振荡器频率为16M H z,BTR0= 7FH,BTR1=7FH,计算系统时钟和位时间 由给定BR T0和BR T1值可知: BR P15,BR P14,BR P13,BR P12,BR P11,和BR P10,均为1,另外,T SEG11X和T SEG21X亦均为 81四通电脑应用美国德州工控机6257723062577231 《电子技术应用》1998年第9期

汽车CAN总线系统智能节点的设计

汽车ECU电路分析 ECU电路解析 正如在本章开始时我们讲到的,不同厂商的汽车电脑在功能上不是完全相同的,但结构组成和要紧功能是差不多一样的,因此我们以有代表性的BOSCH MOTRONIC系统为例进行ECU的电路分析。 1、BOSCH MOTRONIC系统结构图 BOSCH MOTRONIC系统在电子燃油喷射系统中极具代表性,国内生产的大部分车型采纳的差不多上BOSCH电子喷射系统。图5.11为MOTRONIC系统框图,在此图中介绍了曲型电子燃油喷射系统的组成,各部分的联系情况,关于更好的了解电脑的工作过程,以至于分析故障与维修差不多上大有关心的。 图11 Motronic系统框图 1-燃油箱;2-燃油泵;3-燃油滤清器;4-燃油压力调节器;5-燃油脉动衰减器;6-电子操纵单元;7-分电器;8-喷油嘴;9-冷起动喷油嘴;10-节气门;11-节气门开关门;12-空气流量计;13-氧传感器;14-热敏开关;15-水温传感器;16-辅助空气阀;17-曲轴位置传感器;18-主继电器;19-燃油泵继电器

在图11中,电子操纵单元作为电控发动机的核心部分,由一8位/16位单片微机、集成电路和相关电子元件组成,英文表示为Electric control unit简称ECU。其作用是接收各种传感器送来的信息,以它们进行运算、处理、推断后再发出指令信号,经输出电路进行功率放大后驱动想应的执行单元,从而实现对发动机的各种工况的操纵。那个地点提级的ECU是各种操纵单元的统称,ECM/PCM则是发机操纵模组或动力操纵模组的缩写,是包含于ECU范围之内的。 2、BOSCH MOTRONIC1.3电路分析 汽车电子操纵单元(ECU),不论是BOSCH的MOTRONIC,福特的EEC IV、V,通用的P4、P6等,其最终的目的只有一个,让发动机工作的更出色,表现为动力更强劲,噪声小,污染低。这是针对发动机系统而言,其他系统也是一样,每个系统都有自己的目标,这就看起来是电视机一样,世界各国生产的电视机,不管是哪个厂家的,差不多上要以接收电视节目为目的。基于如此一种认识,我们能够把ECU抽样化的分成几个部分,见图12所示。

基于STM32F407的双CAN总线设计与实现

基于STM32F407的双CAN总线设计与实现 【摘要】本文是基于意法半导体(ST)新推出的一款高性能CortexTM-M4内核的ARM 芯片STM32F407ZGT6,进行的双CAN总线设计。在开发过程中采用了ST提供的可视化图形界面开发工具STM32Cube进行底层驱动的配置,简化了设计工作。但由于该工具链接的固件库函数存在传递参数错误,使得CAN总线无法接收数据,本文对该库函数进行了更正。 【关键词】STM32F407;CAN;STM32Cube Design and Realization of Double CAN Buses on STM32F407 LIU Peng (Chinese Electron Scientific and Technological Company 20th Institute,Xi’an Shaanxi 710068,China) 【Abstract】Based on a high-performance ARM with CortexTM-M4 core which launched by STMicroelectronics (ST)--STM32F407ZGT6,the double CAN bus is designed in this paper. A visual graphical interface-STM32cube which is provided by ST,is used to configure the underlying driver in this development process. It simplifies the design work. However,

汽车CAN总线系统智能节点的设计

汽车ECU电路分析ECU电路解析 正如在本章开始时我们讲到的,不同厂商的汽车电脑在功能上不是完全相同的,但结构组成和主要功能是基本一样的,因此我们以有代表性的BOSCHMOTRONIC系统为例进行ECU的电路分析。 1、BOSCH MOTRONIC系统结构图 BOSCH MOTRONIC系统在电子燃油喷射系统中极具代表性,国内生产的大部分车型采用的都是BOSCH电子喷射系统。图5.11为MOTRONIC系统框图,在此图中介绍了曲型电子燃油喷射系统的组成,各部分的联系情况,对于更好的了解电脑的工作过程,以至于分析故障与维修都是大有帮助的。 图11Motronic系统框图 1-燃油箱;2-燃油泵;3-燃油滤清器;4-燃油压力调节器;5-燃油脉动衰减器;6-电子控制单元;7-分电器;8-喷油嘴;9-冷起动喷油嘴;10-节气门;11-节气门开关门;12-空气流量计;13-氧传感器;14-热敏开关;15-水温传感器;16-辅助空气阀;17-曲轴位置传感器;18-主继电器;19-燃油泵继电器在图11中,电子控制单元作为电控发动机的核心部分,由一8位/16位单片微机、集成电路和相关电子元件组成,英文表示为Electric control unit 简称ECU。其作用是接收各种传感器送来的信息,以它们进行运算、处理、判断后再发出指令信号,经输出电路进行功率放大后驱动想应的执行单元,从而实现对发动机的各种工况的控制。这里提级的ECU是各种控制单元的统称,ECM/PCM则是发机控制模组或动力控制模组的缩写,是包含于ECU范围之内的。 2、BOSCH MOTRONIC1.3电路分析 汽车电子控制单元(ECU),不论是BOSCH的MOTRONIC,福特的EEC IV、V,通用的P4、P6等,其最终的目的只有一个,让发动机工作的更出色,表现为动力更强劲,噪声小,污染低。这是针对发动机系统而言,其他系统也是一样,每个系统都有自己的目标,这就好像是电视机一样,世界各国生产的电视机,无论是哪个厂家的,都是要以接收电视节目为目的。基于这样一种认识,我们可以把

CAN总线硬件设计

EDN-CAN总线助学【之八】-CAN总线硬件设计 这一讲我们详细介绍一下CAN总线通讯模块的硬件设计:CAN总线学习板上C AN通讯模块的设计。包括三个部分:(1)与CPU的接口;(2)CAN控制器SJA1000与驱动器82C250接口及其他外围电路;(3)82C250外围电路。 电路如下: 1 SJA1000与CPU接口 我们在学习单片机原理的时候,我相信大家都学习过RAM,ROM,I/O口扩展。大家可以把SJA1000看作一个外部的RAM,扩展电路十分简单。SJA1000支持两种模式单片机的连接,我们选用的是8051系列的单片机,所以选择的是I ntel模式。 (1)SJA1000的数据线和地址线是共用的,STC89C52的数据线和地址线也是共用的,这就更加方便了,直接连接就OK了。 (2)既然数据线和地址线共用,必须区分某一时刻,AD线上传输的是地址还是数据,所以就需要连接地址锁存信号 ALE。 (3)随便使用一个单片机管脚作为SJA1000的片选信号,我们学习板使用的是P20。当然你也可以直接接地。

(4)读写信号直接和单片机连接就行了,就不必多说了! (5)我们采用单片机的IO口线控制SJA1000的RST管脚,是为了软件可以实现硬复位SJA1000芯片。 (6)SJA1000的中断管脚连接单片机的INT1外部中断。当收到一包数据后,通知CPU。 2 SJA1000与82C250的接口及其他外围电路 (1)SJA1000有两路发送和接收管脚,CAN总线学习板使用了第0路。与82 C250的连接比较简单,直接连接就可以了。但应该数据发送和接收管脚不要接反了。而且我们增加了通讯状态指示灯,便于调试。 (2)时钟电路:SJA1000的最高时钟可达24M,我们学习板使用的是16M的晶振。另外增加了一个启动电阻R9(10M欧姆)。 (3) 3 82C250外围电路 (1)CANH和CANL管脚增加阻容电路,滤除总线上的干扰,提高系统稳定性。(2)RS管脚为斜率电阻输入。通过这个管脚来选择82C250的工作模式:高速模式(应用与对数据传输速率高的情况,通讯数据线最好是屏蔽的);斜率模式(速度较低,通讯线可以是普通的双绞线)。准备模式(应用于对功耗要求比较高的场合)。我们的学习板采用的是斜率模式,方便大家学习。 (3)J3是外部总线的连接口。 (4)J4是终端电阻的选择端。 到现在为止,CAN总线学习的硬件部分就介绍完了,请等待下面的软件试验部分!

相关主题
文本预览
相关文档 最新文档