当前位置:文档之家› 交通信号控制逻辑电路

交通信号控制逻辑电路

交通信号控制逻辑电路
交通信号控制逻辑电路

数字电子课程设计交通信号控制逻辑电路

一、简述交通灯基本工作原理

交通灯系统原理图:为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。见下图:

图1 交通灯控制器系统框图

二、设计要求

设计中十字路口交通信号灯控制,要求如下:

1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;

东西方向的红、黄、绿灯分别为EWR,EWY,EWG,则满足图1交通灯顺序工作流程图并且可以并行工作:NSG(EWR)→ NSR(EWG),黄灯用于闪烁提示绿灯变为红灯。

2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方向黄、绿灯亮的时间之和。时序工作流程见图2所示:

图2 交通灯顺序工作流程图

图3 交通灯时序工作流程图

图2假设每个单位时间为2秒,则南北东西方向的绿,黄,红灯亮的时间分别为12秒,2秒,12秒,一次循环为24秒,其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。

3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工作循环。

例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0”时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯亮,并置东西方向的数码管的显示为12。

4. 可以手动调整脉冲时间,夜间为黄灯闪耀。

三、交通灯基本组成

它主要由控制器、显示控制部分和秒脉冲信号发生器等部分组成。

1.单次手动电路及秒脉冲发生器

单次脉冲是由两个与非门组成的RS触发器产生的;秒脉冲发生器是该系统中显示控制

部分和控制器的标准时钟信号源,可用晶体振荡或RC振荡电路构成。

如图5所示,当按下K1时,有一个冲输出使74LS164移位计数实现手动控制。K2在自动位置时,由秒冲电路经分频后(4分频),输入给74LS164,这样,74LS164为每4秒向前移一位(计数1次)。

图5 单次脉冲电路

1Hz标准脉冲:因十字路口每个方向绿、黄、红灯亮时间比例分别为5:1:6,所以,如果选4秒(也可以任意)为一单位时间,则计数器每计数4秒输出一个脉冲。在此设计中,秒脉冲发生器由晶本振荡电路组成,发生器发出的波形经过整形,分频获得1HZ的秒脉冲。电路图如下:

图6 秒脉冲电路

2.控制器

控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。由波形图可知,计数器每工作循环周期为12,所以可以选用12进制计数器。计数可以用单触发器组成,也可以用中规模集成计数器。这里我选用中规模74LS164八位移位寄存器组成扭环型12进制计数器。扭环型计数器的状态表如图4所示,根据状态表,列出东西方向和南北方向绿,黄,红灯的逻辑表达试:

南北方向东西方向

绿

图4 扭环型计数器的状态表

图7计数器电路

3.显示控制部分

显示控制部分,实际是一个定时控制电路。当绿灯亮时,使减法计数器开始工作(用对方的红灯信号控制),每来一个秒脉冲,使计数器减肥,直到计数器为“0”而停止。译码显示可用法74LS168BCD码七段译码器,显示器用LC5011—11共阴极LED显示器,计数器采用可预置加、减法计数器,如74LS168、74LS193等。

此设计采用74LS168以减法计数方式工作,当南北方向绿灯亮,而东西方向红亮时,从数字“24”开始往下减,当减到“0”时,南北方向绿灯灭,红灯亮,而东西方向红灯灭,绿灯亮。由于东西方向红灯灭信号(EWB=0),使与门关断,减法计数器工作结束,而南北方向红灯亮,使另一方向――东西方向减法计数器开始工作。在减法计数开始之前,由黄灯信号使减法计数器先置入数据,图中接入和的信号就是由黄灯亮(为高电平)时,置入数据。黄灯灭(Y=0)而红灯亮(R=1)开始减计数。电路图如下:

图8数字显示部分

4.汽车模拟运行控制部分

此部分为电路扩展部分,可用LED发光二极管模拟汽车行驶电路当某一方向绿灯亮时,这一方向的发光二极管接通,并一个一个向前移动,表示汽车在行驶;当遇到黄灯亮时,移位发光二极管就停止,而过了十字街口的移位发光二极管继续向前移动;红灯亮时,则另一方向转为绿灯亮,那么,这一方向的LED发光二极管就开始移位(表示这一方向的车辆行驶);用移位寄存器组成汽车模拟控制系统。

如图9所示,黄灯(Y)或红灯(R)亮时,RI这端为高(H)电平,在CP移位脉冲作用下,而向前移位,高电平“H”从QH一直移到QA(图中74LS164-1)由于绿灯在红灯和黄灯为高电平时,它为低电平,所以74LS164-1QA的信号就不能送到74LS164-2移位寄存器的RI端。这样,就模拟了当黄红灯亮时汽车停止的功能而当绿灯亮,黄,红灯灭(G=1,R=0,Y=0)时,74LS164-1和74LS164-2都能在CP移位脉冲作用下向前移位。这就意味着,绿灯亮时汽车向前运行这一功能。

图9汽车模拟控制电路

四、总电路图

综合以上分析,可得出交通灯的总电路图:

L C 5011-11

图10 交通灯电路

五、设计器材

1. NET 系列数字电子技术实验系统

2. 5V直流稳压电源

3. 交通信号灯及汽车模拟装置

4. 集成电路:74LS164,74LS74,74LS168,74LS248及各种门电路

5. 显示:LC5011-11,发光二极管4个。

6. 10K电阻3个

7. 开关3个

六、总结

我运用所学的课本知识,以及查阅相关的资料,对设计过程中所遇到的问题都一一的解决了。经过这次设计证明,将所学的知识和电子设计方法相结合,大大的调动了我的学习积极性,并有利于我系统的科学地培养我的实际动手能力,工程设计能力以及创新设计能了,活跃了我的思维,也激发了我对电子电路设计的兴趣。作为一名电力专业的大学生,我认识到不仅要学好课本上的知识,还要会把学到的知识应用到实际生活中,要做到理论联系实际。

在充实的课程设计期间,我不仅要查阅资料,还要时常复习学习过的知识,通过温习,我很快就有了设计方案。在这次课程设计中,我收获很大,通过这次电子技术课程设计,学会了一些基本的画图技巧,能够设计简单的电子电路,同时也对我再学习理论知识提供了很大的帮助。

参考文献:

《电子技术基础――数字部分》主编:康华光

《电子课程设计指导书》主编:艾永乐付子义

《电子电路实验及仿真》主编:路勇高文焕

《数字电路于逻辑设计》主编:曹国清

《电子线路设计-实验-测试》主编:谢自美(第二版)

《实用电子控制电路》主编:方大千、鲍萧伟

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

交通灯控制逻辑电路设计实验报告

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现 学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期:

交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。 在各单元电路的设计顺序上,最先设计基础格雷码顺序的交通灯控制状态图,由此确定时序逻辑电路的设计,并完成该部分电路的调试。接着在设计好时序路逻辑电路的基础上,根据状态输出设计组合逻辑电路,并完成该部分的调试。最后完成定时电路的设计与调试。整合电路,形成整个系统完整的电路,统调测试结果。

交通信号控制系统方案

交通信号 控制系统(ATC)设计方案 x x x x有限责任公司

目录 1.概述 (1) 1.1系统简介 (1) 1.2设计原则 (2) 1.3系统设计依据及执行标准 (4) 2.总体设计方案 (6) 2.1控制系统总体功能 (6) 2.2通信系统总体结构 (6) 2.3通信系统主要优势 (8) 3.详细设计方案 (9) 3.1监测点设备 (9) 3.1.1设备功能描述 (9) 3.1.2监测点设备组成、结构及特点 (9) 3.2防雷保护及安全设计 (14) 3.3详细设备说明 (15) 3.3.1高清晰摄像机 (15) 3.3.2标清视频检测 (15) 3.3.3补光设备 (15) 3.3.4嵌入式存储 (15) 3.3.5 GOE210千兆工业以太网交换机 (15) 3.3.6 POE工业以太网光纤收发器 (17) 3.4系统典型配置清单 (18)

1.概述 城市发展交通智能信号灯,减少道路拥堵,最终达到智能化区域交通信号控制系统。智能交通信号灯迎合实现绿色经济的时代潮流,为了解决这个问题,提出智能交通信号灯及网络技术,会根据路口车辆多少,自动调节时间,可减少等候时间在75%以上,从而大大节省了人们的出行时间,减少了路口的无效等候,使出行更快捷。 在智能交通系统中,以往的常规摄像机是对所有通过该地点的机动车辆的车牌进行拍摄、记录与处理。由于受到图像采集设备分辨率的制约,图片仅能反映出车型、车身颜色、车牌号码等简单信息。公安执法部门对部分治安案件、交通肇事案件的取证要求上,希望能掌握更详细更清楚的资料,如驾驶员的面貌特征、车内驾驶室的情况、清晰的车辆信息、货车的装载情况。采用高清晰摄像机做前端采集,可以实现所抓拍的图像中用肉眼清楚地分辨:车辆的颜色、特征、车牌的号码、车牌颜色、司乘人员的面部特征。 如此一来智能化同时也带来了网络数据流量的剧增,对网络通信的可靠传输提出了更高的要求。工业以太网交换机在区域交通信号控制系统网络中稳定性、高可靠性、高安全性成为关键中的关键。 1.1系统简介 区域交通信号控制系统(ATC) 智能化区域交通信号控制系统采用百万像素的数字化网络摄像机(1600×1200 CCD传感器),一台摄像机覆盖两条车道,准确抓拍正常行驶、压线行驶、并行通过的车辆,并自动识别车牌号码,抓拍的车辆图片可清晰地显示车辆特征及前排司乘人员的面部特征。摄像机工作于外触发方式,通过视频分析、环形线圈或者窄波雷达检测通过车辆,在抓拍车辆的同时可获取车辆的行驶速度。两条车道共用一台高清数字摄像机的方式在保障系统性能的前提下,大大降低了系统成本。

交通信号控制系统解决实施方案

交通信号控制系统解决方案 1概述 交通信号控制系统,是智能交通系统(ITS)在交通管理工作中的基本应用,也是城市智能交通管控系统中最直接、最基础的应用系统。通过建设信号控制系统,实现信号路口联网远程控制、交通流量的采集、路口自适应控制、绿波协调控制以及区域的自适应控制,有效减少车辆的停车次数,节省旅行时间;后台实时调整信号配时,采取多时段控制方式,必要时,可通过智能交通管理中心人工干预,直接控制路口交通信号机执行指定相位,有效的疏导交通,减少行车延误,提高通行能力,缓解日益严峻的城区道路交通拥堵压力,提高城区交通综合管理能力,减少汽车尾气排放,美化环境,提升城区形象。 2系统结构设计 系统结构划分为3级:分别为中心控制级设备、区域控制级设备以及路口控制级设备。交通信号控制系统设备主要包括中心设备、前段设备和通信设备。

(1)中心控制级设备 中心控制级设备作用主要是: ?监控整个系统的运行。 ?协调区域控制级的运行。 ?具备区域控制级的所有功能。(2)区域控制级设备 区域控制级设备作用主要是: ?监控受控区域的运行。

?对路口交通信号进行协调控制。 ?对路口交通信号机的工作状态和故障情况进行监视。 ?通过人机回话对路口交通信号机进行人工干预。 ?监视和控制区域级外部设备的运行。 ?进行交通流量统计处理。 (3)路口控制级设备 路口控制级设备即信号机,其作用主要是: ?控制路口交通信号灯。 ?接收处理来自车辆检测器的交通流信息,并定时向区域计算机发送。 ?接收处理来自区域计算机的命令,并向区域计算机反馈工作状态和故障信息。 ?具有单点优化能力。 3系统功能设计 3.1基础功能 (1)区域自适应控制 系统以控制子区作为基本控制单元,综合考虑子区内的交通运行状态(如交通阻塞、交通拥挤、交通顺畅)、交叉口的关联性大小、交叉口的实际交通量,确定公共信号周期与相位差的决策模型,并运用智能优化算法实时优化子区协调控制配时参数,实现控制子区交叉口的协调控制功能。 系统的区域交叉口协调控制能够确保控制区域内的交通流时刻处于最佳运行状态,相邻交叉口之间协调方向的行驶车流可以获得尽可能不停顿的通行权,大大降低车辆在交叉口频繁加减速所产生的交通污染,减少区域交通总的车辆燃油

交通灯控制逻辑电路的设计

摘要:根据实际路口的交通灯设置与运行规律,基于VHDL硬件描述语言,利用FPGA器件EP1K10TC100_3和自行设计的高亮LED交通灯指示模拟电路板以及数码显示模拟电路板,通过了QuartusⅡ软件的功能仿真和实际调试,体现了EDA 技术的设计优越性。 关键词:交通灯;VHDL;FPGA;计数器 Abstract:According to the design and operation rules of traffic lights at the practical crossings,and based on the descriptive language of VHDL hardwares,making use of the device of EP1K10TC100_3 and self-designed highly bright LED traffic lights indicating imitation circuit panels and imitation circuit panel with digital display,going through functional simulation and practical debugging by Quartus softwares,this paper displays the design advantages of EDA. Key words:traffic lights;VHDL;FPGA;counter

前言 交通灯是城市交通中的重要指挥系统,它与人们日常生活密切相关。随着人们生活水平的提高,对交通管制也提出了更高的要求,因此提供一个可靠、安全、便捷的多功能交通灯控制系统有着现实的必要性。 随着社会经济的发展,城市交通问题越来越引起人们的关注,人、车、路三者关系的协调,已成为交通管理部门需要解决的重要问题之一,同时,交通灯控制系统也是应用电子技术中最经典的电子设计,但目前尚存在系列问题有待解决,例如实际路口设置的交通灯种类较多、数量也较多、程序结构较复杂等等问题。 本次设计整个交通灯控制器电路系统采用 verilog hdl程序编写,并能进行硬件仿真。课题除了学习相应的硬件知识外,还要学习如何使用VHDL语言设计可编程逻辑器件。 VHDL是广泛使用的设计输人硬件语言,可用于数字电路与系统的描述、模拟和自动设计.CPLD/FPGA(复杂可编程逻辑器件/现场可编程门阵列)为数字系统的设计带灵活性,兼有串/并行工作方式和高集成度、高速、高可靠性等明显的特点,CPLD/FPGA的时钟延迟可达纳秒级,结合其并行工作方式,在超高速领域和实时测控方面有非常广泛的应用。 本文根据实际路口的交通灯设置与运行规律,基于FPGA技术设计出交通灯控制电路,不但通过QuartusⅡ软件的功能仿真,而且得到实践的检验,证明设计是符合实际的。

交通信号灯控制系统

交通信号控制系统 1. 设计任务 设计一个十字路口交通控制系统,要求: (1)东西(用A表示)、南北(用B表示)方向均有绿灯、黄灯、红灯指示,其持续时间分别是30秒、3秒和30秒,交通灯运行的切换示意图如图1-1 所示。 (2)系统设有时钟,以倒计时方式显示每一路允许通行的时间。 (3)当东西或南北两路中任意一路出现特殊情况时,系统可由交警手动控制立即进入特殊运行状态,即红灯全亮,时钟停止记时,东西、南北两路所有车辆停止通行;当特殊运行状态结束后,系统恢复工作,继续正常运行。 2.总体框图 本系统主要由分频计、计数器和控制器等电路组成,总体框图如1-2所示。分频计将晶振送来的信号变为1Hz时钟信号;当紧急制动信号无效时,选择开关将1Hz脉冲信号送至计数器进行倒计时计数,并使控制器同步控制两路红、黄、绿指示灯时序切换;当紧急制动信号有效时,选择开关将紧急制动信号送至计数器使其停止计数,同时控制器控制两路红灯全亮,所有车辆停止运行。 2-1 交通灯总体结构框图 3 模块设计 (1)分频器 设晶振产生的信号为2MHz,要求输出1Hz时钟信号,则分频系数为2M,需要21位计数器。用VHDL设计的2M分频器文本文件如下:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpin2m IS PORT(clk:IN STD_LOGIC; reset:IN STD_LOGIC; --时钟输入 clk_out:out STD_LOGIC); END ENTITY fenpin2m; ARCHITECTURE one OF fenpin2m IS signal count:integer range 0 to 1999999; BEGIN PROCESS(clk) BEGIN if reset='1' then count<=0; clk_out<='0'; else if clk'EVENT and clk='1'THEN IF count<999999 THEN count<=count+1; clk_out<='0'; ELSif count<1999999 then count<=count+1; clk_out<='1'; else count<=0; END IF; END IF; END IF; END PROCESS ; END one; (2) 模30倒计时计数器 采用原理图输入法,用两片74168实现。74168为十进制可逆计数器,当U/DN=0时实现9~0减法计数,记到0时TCN=0;当U/DN=1时实现0~9加法计数,计到9时TCN=0;ENTN+ENPN=0时执行计数,否则计数器保持。该电路执行减法计数,当两片计数器计到0时同步置数,因此该计数器的计数范围是29~0,当系统检测到紧急制动信号有效时,CP=0计数器停止计数。

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通灯控制逻辑电路设计实验报告

交通灯控制逻辑电路设 计实验报告 Standardization of sany group #QS8QHH-HHGX8Q8-GNHHJ8-HHMHGN#

《数字设计》课程实验报告 实验名称:交通灯控制逻辑电路的设计与仿真实现学员:学号: 培养类型:年级: 专业:所属学院: 指导教员:职称: 实验室:实验日期: 交通灯控制逻辑电路的设计与仿真实现 一、实验目的: 1. 熟悉Multisim仿真软件的主要功能和使用。 2. 熟悉各种常用的MSI时序逻辑电路的功能和使用。 3. 运用逻辑设计知识,学会设计简单实用的数字系统。 二、实验任务及要求: 1.设计一个甲干道和乙干道交叉十字路口的交通灯控制逻辑电路。每个干道各一组指示灯(红、绿、黄)。要求:当甲干道绿灯亮16秒时,乙干道的红灯亮;接着甲干道的黄灯亮5秒,乙干道红灯依然亮;紧接着乙干道的绿灯亮16秒,这

时甲干道红灯亮;然后乙干道黄灯亮5秒,甲干道红灯依然亮;最后又是甲干道绿灯亮,乙干道变红灯,依照以上顺序循环,甲乙干道的绿红黄交通指示灯分别亮着。 2.要求: (1)分析交通灯状态变换,画出基于格雷码顺序的交通灯控制状态图。 (2)设计时序逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (3)设计组合逻辑电路部分,写出完整的设计过程,画出逻辑电路图。在Multisim仿真平台上,搭建设计好的该单元电路,测试验证,将电路调试正确。 (4)用74LS161计数器构造16秒定时和5秒定时的定时电路,画出连线图。在Multisim仿真平台上,选用74LS161芯片连线,测试验证,将电路调试正确。 (5)在Multisim仿真平台上形成整个系统完整的电路,统调测试结果。三、设计思路与基本原理: 依据功能要求,交通灯控制系统应主要有定时电路、时序逻辑电路及信号灯转换器组合逻辑电路组成,系统的结构框图如图1所示。其中定时电路控制时序逻辑电路状态的该表时间,时序逻辑电路根据定时电路的驱动信号而改变状态,进而通过组合逻辑电路控制交通灯系统正常运行。

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

智能交通信号灯控制系统设计

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 1.1 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通信号控制系统

1交通信号控制系统概述交通信号控制系统是智能交通管理系统的重要子系统,其主要功能是自动协 1.1调和控制整个控制区域内交通信号灯的配时方案,均衡路网内交通流运行,使停车次数、延误时间及环境污染减至最小,充分发挥道路系统的交通效益。 必要时,可通过控制中心人工干预,直接控制路口信号机执行指定相位,强制疏导交通。 NATS交通信号控制系统用于城市道路交通的控制与管理,可以提高车速、减少延误、减少交通事故、降低能耗和减轻环境污染。 从上个世纪八十年代中期以来,中国电子科技集团公司第二十八研究所就开始了NATS系统和路口交通信号控制机的研制开发。 该系统通过了国家鉴定验收,获得了国家重大科技攻关成果奖、公安部科技进步一等奖和国家科技进步三等奖。 NATS交通信号控制系统特点: 适合中国城市混合交通的特点,具有自行车控制功能;系统支持多种硬件平台(微机、工作站以及大、中、小型计算机),多种软件平台(WINDOWS 98/NT/2000/XP);支持多种外部设备(动态地图板、室内信息板、室外信息板、违章记录仪…);支持多种系统互联(电视监视系统、地理信息系统、车辆定位系统、违章捕捉系统、信息管理系统…);系统配置灵活、裁剪方便;支持远程控制和维护;支持多种通信方式(光缆、电话线、GPRS/CDMA无线通信、城域网…);系统人机界面友好,显示内容丰富,操作使用方便;与国外同类系统相比,具有很高的性能价格比。 1.2系统结构 1.2.1系统控制应用层结构NATS交通信号控制系统采用三级分布式递阶基本控制结构: 中心控制级,区域控制级,路口控制级(参见下图)。

中心控制级区域控制级1区域控制级2路口控制级路口控制级路口控制级区域控制级N 1.2.2系统基本结构区域监控台动态地图板室内信息板违章捕捉仪区域控制计算机数据通信控制机(光端机)光纤(光端机)(光端机)路口信号机…(光端机)(光端机)路口信号机室外情报板…室外情报板交通信号灯车辆检测器其中: 区域控制计算机监视、控制、协调整个系统的运行,可同时控制128个外部设备,如果外部设备超过128路,可采用多台区域控制计算机。 区域监控台用作交通工程师工作台,实时显示被控区域内的交通状态和信息,下达人机会话命令;数据通信控制机为区域控制计算机与户外设备提供通信通道;路口信号机负责采集、处理、传送交通信息,控制路口信号灯色;环形线圈检测器和微波检测器安装位置可分布在路口或者路段;动态地图板实时显示被控区域内的交通状态。 1.3系统功能 1.3.1系统三级控制功能1)中心控制级监控整个系统的运行;协调区域控制级的运行;具备区域控制级的所有功能。 2)区域控制级监控受控区域的运行;对路口交通信号进行协调控制; 对路口交通信号机的工作状态和故障情况进行监视;通过人机会话对路口交通信号机进行人工干预;监视和控制区域级外部设备的运行;进行交通流量统计处理。 3)路口控制级控制路口交通信号灯;接收处理来自车辆检测器的交通流信息,并定时向区域计算机发送;接收处理来自区域计算机的命令,并向区域计算机反馈工作状态和故障信息;具有单点优化能力。 4)终端控制为了方便灵活地控制系统,系统可挂接终端控制计算机(工作站),终端控制计算机提供与区域控制计算机完全同样的显示操作功能,终端控制计算机既可以是本地的(如放在管控中心),也可以是远程的(如在任何地方通过公安网进行控制)。 1.

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

智能交通信号控制系统发展史

智能交通信号控制系统发展史 交通信号是汽车工业发展所带来的产物,凡在道路上用以传达具有法定意义、指挥交通行、止、左、右的手势、声响、灯光等都是交通信号。但目前使用的最为普遍、效果最好的是灯光交通信号。 色灯交通信号控制技术的发展是随着现代科学与汽车技术的发展,汽车数量增长,路口冲突矛盾激化,人们为了安全、迅速通过,不得不将最新的科技成果用以解决路口的交通阻塞问题,从而推动了自动控制技术在交通领域的迅速发展。 1886年伦敦的威斯敏斯特教堂安装了一台红绿两色煤气照明灯,用以指挥路口马车的通行,不幸发生意外爆炸,遭到人们反对而夭折。 1917年美国盐湖城开始使用联动式信号系统,将六个路口作为一个系统,用人工手动方式加以控制。 1918年初纽约街头出现了新的人工手动红黄绿三色信号灯,同现在的信号机基本相似。 1922年美国休斯顿建立了一个同步控制系统,以一个岗亭为中心控制几个路口。 1926年英国伦敦成立了第一台自动交通信号机在大街上使用,可以说是城市交通自动控制信号机的开始。 1928年人们在上述各种信号机的基础上,制成“灵活步进式”适时系统。由于其构造简单、可靠、价廉,很快得到推广普及,以后经不断改进、更新、完善,发展成现在的交通协调控制系统。 在计算机应用方面的发展也很快,先是模拟式电子计算机,1952年美国丹佛市首先安装,经过改进成为“PR”(program register),在美国发展很快,至1962年已经安装了100多个“PR”系统。以后数字计算机也进入了交通控制领域,1963年多伦多市第一个完成了以数字计算机为核心的城市交通控制系统(UTC系统)。接着西欧、北美、日本很快也建立了改进式的UTC系统。 在软件开发方面,1967年英国运输与道路研究实验室的专家们研制了“TRANSYT”(TRAFFIC NETWORK STUDY TOOL)。它是一个脱机仿真优化的配时程序,应用很广,效果很好。 TRANSYT主要由两部分组成。一部分为仿真模型,其目的使用数学方法模拟车流在交通网上的运行状态,研究交通网配时参数的改变对车流运行的影响,能够对不同配时方案控制下的车流运行参数作出可靠地估算;另一部分为优化,将仿真所得到的性能指标送入优化

相关主题
文本预览
相关文档 最新文档