当前位置:文档之家› 可编程脉冲信号发生器的设计_毕业设计

可编程脉冲信号发生器的设计_毕业设计

可编程脉冲信号发生器的设计_毕业设计
可编程脉冲信号发生器的设计_毕业设计

毕业设计论文

可编程脉冲信号发生器的设计

摘要

基于单片机的可编程脉冲信号发生器,通过4x4的非编码矩阵键盘键入脉冲信号的指标参数频率、占空比和脉冲个数,在单片机的控制处理下发出满足信号指标的脉冲信号,并在液晶显示屏的制定位置显示出相关参数。复位电路采用上电复位和手动复位的复合复位方式,保证单片机在上电和程序运行进入死循环时,单片机均能正常复位。利用在工作方式1下的定时器和计数输出低频脉冲信号,以及在工作方式2下能够自动重复赋初值的定时器输出高频脉冲信号,从而使频率和占空比满足指标要求。通过程序设计,使单片机每次发出信号后等到重置信号进行下一次脉冲信号的输出,有效的提高了单片机的使用效率。

本课题设计利用单片机技术,通过相应的软件编程和较简易的外围硬件电路来实现,其产生的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。关键的是,脉冲信号频率、脉冲个数和脉冲占空比可调节,可通过键盘输入并由显示器显示出来。

本课题设计所要达到的指标要求:

(1)脉冲信号频率0.1HZ到50KHZ可调并在液晶显示屏指定位置显示。

(2)脉冲信号个数0到9999可调并在液晶显示屏指定位置显示。

(3)脉冲信号占空比任意可调并在液晶屏显屏指定位置示出来。

关键词:单片机,脉冲信号,频率,脉冲个数,占空比

Programmable pulse signal generator design

ABSTRACT

The programmable pulse signal generator based on single chip, through the 4x4 non-coding matrix keyboard inputing pulse signal parameters of frequency, duty cycle and pulse number, pulse signal is sent to meet the targets of signal processing chip.The related parameters are displayed on the setting position on the liquid crystal. The reset circuit by power-on reset and manual reset, ensure the SCM in power and run into dead circulation can be reset. Use in work mode 1 timer and counter output low frequency pulse signal, and in work mode 2 to timer output high frequency pulse signal ,automaticly repeat initialization, so as to make the frequency and duty ratio meet the requirements. Through the program design, the microcontroller each signal and then wait for the reset signal, the signal at the output of the pulse next time, effectively improve the efficiency in the use of single-chip microcomputer.

The subject of the use of single-chip technology, which achieved through the corresponding software and the simple peripheral hardware circuit. The advantages of which are the small interference of the pulse signal, output stability, high reliability, friendly man-machine interface, easy operation, low cost, portability, scalability strong. The keys, pulse frequency, pulse number and pulse duty ratio are adjustable, which can be inputed through the keyboard and displayed through LCD.

The requirements of this topic design:

(1) The pulse signal frequency of 0.1HZ to 50KHZ is adjustable and can be displaied on the specify location in the LCD screen.

(2) Pulse signal number of 0 to 9999 is adjusted and can be displaied on the specify location in the LCD screen.

(3)Pulse duty ratio is adjustable and can be displaied on the specify location in the LCD screen.

KEY WORDS: single chip computer,pulse,hardware circuit,pulse number,duty ratio

目录

前言 (1)

第1章可编程脉冲发生器的相关模块 (4)

1.1 AT89C51单片机 (4)

1.1.1 AT89C51单片机的结构 (4)

1.1.2 AT89C51单片机的引脚功能 (5)

1.1.3 AT89C51单片机的中断系统 (9)

1.1.4 AT89C51单片机的定时/计数器 (11)

1.2 SMC 1602A LCD液晶显示屏 (12)

第2章可编程脉冲信号发生器的硬件设计 (14)

2.1 硬件系统的总体设计 (14)

2.1.1 系统的总体框图 (14)

2.1.2 原理阐述 (14)

2.2 硬件系统各部分构成 (14)

2.2.1电源电路 (14)

2.2.2矩阵键盘 (15)

2.2.3脉冲信号输出电路 (16)

2.3系统电路原理图 (19)

第3章可编程脉冲信号发生器的软件设计 (20)

3.1矩阵键盘的程序设计 (20)

3.2液晶屏显示的程序设计 (21)

3.3脉冲生成的程序设计 (23)

3.3程序流程图 (24)

3.3.1主程序流程图 (24)

3.3.2键盘分析子程序流程图 (25)

3.3.3显示子程序流程图 (26)

3.3.3数值处理子程序流程图 (27)

3.3.3脉冲信号生成子程序流程图 (28)

3.3.4源程序 (28)

第4章可编程脉冲信号发生器的程序编译、调试及仿真 (29)

4.1系统程序的编译 (29)

4.2系统调试 (30)

4.2.1硬件调试 (30)

4.2.2软件调试 (30)

4.3系统仿真 (32)

4.3.1系统仿真图 (32)

4.3.2系统的改善 (35)

结论 (36)

谢辞 (37)

参考文献 (38)

附录 (40)

外文资料翻译 (1)

前言

信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验中经常使用的电子仪器之一。信号发生器的种类比较多,性能也千差万别,但它们都可以产生不同频率的调频波信号、调幅波、正弦波,以及各种锯齿波、三角波、方波和正负脉冲波等信号。

脉冲信号发生器作为一种常见的应用电子仪器设备,一般可以完全由硬件电路搭建而成,如采用555振荡电路发生方波的电路即是可行的方法之一,而不依靠单片机来实现。但是这种电路存在波形质量差,控制难度大,调整范围小,电路结构复杂以及体积大等缺点。在科学研究和生产实践中,如工业生产过程控制、生物医学、地震模拟、机械振动等领域,也常常需要用到低频信号源。而由硬件电路构成的低频信号发生器性能难以令人满意,而且由于低频信号源所需的RC要很大,体积大,漏电,大电阻、大电容在制作上亦有很大的难度,损耗显著更是其致命的弱点。一旦工作需求功能有增加,则电路复杂程度也会大大增加。

在现代测试与控制中常常需要频率可调的脉冲发生器,利用单片机内可编程定时/计数器即可实现。脉冲信号发生器是电子测量中的一种重要仪器,随着微电子技术的高速发展,脉冲信号发生器向着集成化、数字化、智能化的方向发展。

利用单片机采用程序设计方法来产生信号,其频率底线很低,具有线路相对简单,结构紧凑,价格低廉,频率稳定度高,抗干扰能力强,用途广泛等优点,并且能够对波形进行细微调整。改良波形,使其满足系统的要求。只要对电路稍加修改,调整程序,即可完成功能的升级。在数字电路或系统中常常需要各种脉冲波形,例如时钟脉冲、控制过程中的定时信号等。单片机是实现各种控制策略和算法的载体。在现代测试与控制中常常需要频率可调的脉冲信号发生器,利用单片机内可编程定时/计数器可以实现。

本课题设计运用单片机技术,通过相应的软件编程和较简易的外围硬件电路设计来实现,和用分立式元件设计的脉冲发生器相比而言,其产生

的脉冲信号干扰小,输出稳定,可靠性高,人机界面友好,操作简单方便,成本低,携带方便,扩展性强。关键的是,脉冲信号频率、占空比和脉冲个数可以调节,可通过键盘输入并由显示器显示出来。本课题运用单片机技术解决以下问题:

1.清楚单片机的中断、定时/计数等常用功能,产生频率、个数、占空比可调整的脉冲信号。

2.画出以单片机为核心,以矩阵键盘为输入设备,以液晶显示屏为显示设备产生脉冲信号的硬件原理电路图。

3.画出相应的软件流程图,并写出键盘驱动、液晶显示屏驱动以及产生频率、个数、占空比可以调整的C语言源程序。

4.指标要求:

(1)脉冲信号频率参数由键盘输入频率范围0.1HZ到50KHZ可调并由液晶显示屏在指定位置显示。

(2) 脉冲信号个数参数由键盘输入脉冲个数0到9999可调并由液晶显示屏指定位置显示。

(3) 脉冲信号占空比参数由键盘输入任意占空比的脉冲信号,并由液晶屏指定位置显示。

5.用PROTEUS软件做出硬件电路仿真调试结果,并加以说明。针对不同的问题,有针对性的采用不同的方法解决。

1.查阅形成脉冲信号的相关资料,结合所学51系列单片机的基础知识,用工作方式1下的定时加计数的方法实现对低频信号指标的控制,用自动重复赋初值的工作方式2下的定时器实现对高频信号指标的控制,用计数的方法实现对生发信号个数的控制。

2.查阅与单片机最小系统硬件开发相关的资料,从网上那个下载单片机应用实例,在PROTEUS软件上进行仿真实验,熟悉硬件开发环境,掌握电气原理图绘制的基本原理,对程序设计过程有更深层次的了解。

3.查看与C语言程序设计相关的资料,主要研究程序设计的基本方法,掌握C语言中基本指令的使用方法,认识程序设计过程中关键指令使用时的注意事项,熟悉程序开发设计的过程。

4.在完成电气原理图设计及C语言源程序设计后,通过在PROTEUS

和KeiL uVision4 软件上进行联机仿真调试,发现电气原理图设计和C语言程序设计中存在的问题,查看问题出现的原因,并在此基础上进行完善;通过PROTEUS和KeiL uVision4 软件上进行联机仿真调试,查看生成的脉冲信号并与指标要求进行对比,在KeiL uVision4软件上进行单步运行找出误差出现的原因,通过对程序的结构或者所用的指令进行调整进一步完善程序,再进行联机仿真调试,直到误差在允许的范围内,通过进一步整理,完成在完成电气原理图设计及C语言源程序设计。

第1章可编程脉冲发生器的相关模块

1.1 AT89C51单片机

1.1.1 AT89C51单片机的结构

AT89C51单片机的内部结构包括CPU、存储器、并行接口、串行接口、定时计/数器,其系统结构图如图1-1所示。

在一个芯片上集成了单片机的各个组成部分,其功能如下:

(1)一个八位中央处理器CPU,包括可以进行8位算术运算和逻辑运算的AIU单元、8位累加器ACC、寄存器B和程序状态寄存器PSW等。

(2)片内数据存储器RAM(128B),用于存放可以读/写的数据,如运算中间的结果、最终结果或预显示的数据等。

(3)片内程序存数器ROM/EPROM,用于存放原始数据、程序和表格。

(4)四个8位并行I/O接口P0-P3端口,每个接端口可用于输入或输出。

图1-1 AT89C51单片机系统结构图

(5)两个16位定时/计数器,其中每一个定时/计数器可以设置成为计

数方式用来对外部事件计数,也可以设置成为定时方式并且可以根据定时

或计数的结果去控制计算机。

(6)五个中断源,具有两个优先级别的中断嵌套结构,两个外部中断源INTO和INT1,三个内部中断源即两个定时计数中断和一个串行口中断。

(7)一个全双工通用异步接受发送器(URAT)串行接口,用于实现单片机与单片机或者单片机与其他计算机之间的串行通信。

(8)片内振荡器和时钟发生电路,时钟振荡电路是控制器的核心,为控制器提供时钟脉冲信号。

(9)单片机允许的最高工作频率是24MHZ。AT89C51单片机可降至0HZ的静态逻辑操作,并支持两个软件的节电工作模式[3]。

1.1.2 AT89C51单片机的引脚功能

1. 电源引脚GND和VCC

(1)VSS(20 脚):接地端。

(2)VCC(40 脚):电源端。正常操作及对Flash ROM编程和验证时接+5V 电源。

图1-2 AT89C51管脚图

2. 外接晶体引脚XTAL1和XTAL2

(1) XTAL1(19 脚):接外部晶体和微调电容的一端。在AT89C51片内,它是振荡电路反相放大器的输入端以及内部时钟发生器的输入端,这个放大器构成了片内振荡器。当采用外部振荡器时,此引脚引入外部时钟脉冲信号。

要检查AT89C51的振荡电路是否能够正常工作,可以用示波器查看XTAL2端口是否有脉冲信号输出。

(2) XTAL2(18 脚):接外部晶体和微调电容的另一端。在AT89C51片内,它是振荡电路反相放大器的输出端,当采用外部振荡器时,此引脚应该悬空。

3. 控制信号引脚RST、ALE/ PROG、PSEN和EA /VPP

(1) RST(9 脚):复位信号输入端,高电平有效。当振荡器工作时,在此引脚上出现连续两个机器周期以上的高电平,就可以使单片机完成复位。

(2) ALE/ PROG(30 脚):地址锁存允许信号。当AT89C51上电正常工

作后,ALE端不断向外输出正脉冲信号,此信号频率为振荡器频率的1/6。CPU 访问外存储器时,ALE输出信号作为锁存低8位地址的控制信号。

AT89C51在并行扩展外部存储器(包括并行扩展I/O 口)时,P0口用于分时传送低8位地址和数据信号。当ALE 信号有效时,P0口传送的是低8位地址信号;ALE信号无效时,P0口传送的是8位数据信号。在ALE信号的下降沿,锁定P0口传送的低8位地址信号。这样,可以实现低8位地址与数据的分离。

ALE信号也可以用作对外输出的时钟或定时信号。需注意的是,每当访问外部数据存储器时,都将跳过一个ALE脉冲。ALE端可以驱动(吸收或输出电流)8 个LS型TTL(低功耗甚高速TTL)负载。

此引脚的第2功能是PROG在对片内带有4KB Flash ROM的AT89C51编程

写入(固化程序)时,作为编程脉冲信号的输入端。

(3) PSEN (29 脚):程序存储允许输出信号端。当AT89C51由片外部程序存储器读取指令(或常数)时,每个机器周期内两次PSEN有效(即输出

两个有效脉冲)。但在这段时间内,每当访问外部数据存储器时,这两次有效的PSEN信号将不再出现。

PSEN端也可以驱动8个LS型TTL负载。

想要检查一个AT89C51小系统上电后CPU是否能够正常工作,可以用示波器查看PSEN端口是否有脉冲信号输出。如果有,说明其基本上能够正常工作;否则,说明其不能正常工作。

(4) EA /VPP(31 脚):外部程序存储器地址允许输入端/固化编程电压输入端。当EA引脚接高电平时,CPU访问片内FLASH ROM并去执行内部程序存储器中的指令;但当PC值超过4KB(1FFFH)时,将会自动跳去执行外部程序存储器中的指令。当EA端接低电平时,CPU只访问并执行外部程序存储器中的程序,而不管是否有片内程序存储器。需要注意的是,如果保密位LB1被编程,复位时在内部会锁存EA端口的状态。

4. 输入/输出引脚P0口、P1口、P2口、P3口

(1) P0口(P0.0- P0.7共8条引脚,即39-32 脚):P0口是一组漏极开路的8位准双向I/O端口,也即地址/数据总线复用口。当P0口作为输入端口使用时,应先向口锁存器(地址80H)写入全“1”,此时P0口的全部引脚悬空可当作高阻抗输入。作为输入端口使用时,要先对端口写全“1”,这就是准双向口的含义。当作为输出端口用时,每位能吸收电流的方式驱动8个TTL 负载。对端口写全“1”,可以当作高阻抗输入端口使用。

在CPU访问片外存储器时,P0口分时提供低8位地址和8位数据的复用总线。在此期间,P0口内部的上拉电阻有效。

在Flash ROM编程时,P0口接收指令字节;而在校验程序时,则输出指令字节。校验时,要求外接上拉电阻。

(2) P1口(P1.0 - P1.7共8条引脚,即1 - 8脚):P1是一个带内部上拉电阻的8位双向I/O端口。P1口的输出缓冲器可驱动(吸收或输出电流)4个LS型TTL输入。对端口写“1”,通过内部上拉电阻把端口拉升到高电平,此时可以作为输入端口使用。当P1口用作输入端使用时,因为存在内部上拉电

)。

阻,某个引脚被外部信号拉低时该引脚会输出一个电流(I

IL

在对Flash ROM编程或者程序校验时,P1口接收低8位地址。

(3) P2口(P2.0 - P2.7共8条引脚,即21 - 28脚):P2是一个带有内部上拉电阻的8位双向I/ 端口,P2的输出缓冲器可驱动4个LS型TTL输入。对端口写“1”,通过内部上拉电阻把端口拉升到高电平,此时可以当作输入端端口使用。当作为输入端口使用时,因为存在内部上拉电阻,某个引脚被外

)。

部信号拉低时该引脚会输出一个电流(I

IL

在访问外部程序存储器或16位地址的外部数据存储器时,P2口送出高8位地址数据。在访问8位地址的外部数据存储器时,P2口引脚上的内容,在整个访问周期不会发生改变。

Flash编程或程序校验期间,P2也可以可接收高位地址和一些控制信号。

(4) P3口(P3.0 - P3.7 共8 条引脚,即10 - 17 脚):P3口是一组带有内部上拉电阻的8位双向I/O端口。P3口输出缓冲器可驱动4个LS型TTL输入。对端口写入“1”时,它们被内部的上拉电阻把端口拉升到高电位,这时可以当作输入端口用。当作为输入端口使用时,因为存在内部上拉电阻,某个引脚被外部信号拉低时该引脚会输出一个电流(I

)。

IL

表1-1 P3口的第二功能

在对Flash ROM编程或者程序校验期间,P3口还可以接收一些控制信号。

在AT89C51中,P3端口的还用于一些第二功能[7]。P3口第二功能如上页表1-1所示。

1.1.3 AT89C51单片机的中断系统

单片机在某一时刻只能处理一个任务,当多个任务同时要求单片机处

理时,这一要求应该怎么实现呢?通过中断系统可以实现多个任务的资源

共享。

所谓的中断就是,当CPU正在处理某项事务的时候,如果外界或者内

部发生了紧急事件,要求CPU暂停正在执行的工作转去处理这个紧急事件,待处理完后,再回到原来停止工作的地方,继续执行原来被中止的程序,

这个过程称作中断。

从中断的定义我们可以看到中断应具备中断源、中断响应、中断返回

三个要素。中断源发出中断请求,单片机对中断请求进行响应,当中断响

应完成后进行中断返回,返回被中断的地方继续执行原来被中断的程序。

当CPU查询到中断请求时,由硬件自动产生一条LCALL指令。执行LCALL指令时,首先将PC内容压入堆栈进行断点保护,再把中断入口地址

装入PC,使程序跳转到相应的中断区入口地址。

MCS-51单片机的中断系统有5个中断请求源,具有2个中断优先级,

可实现2级中断服务程序的嵌套。MCS-51中断系统5个中断请求源分别如下:

(1)INT0:外部中断请求0,由INT0引脚输入,中断请求标志为IE0;(2)INT1:外部中断请求1,由INT1引脚输入,中断请求标志为IE1;(3)T0:定时器/计数器0的溢出中断请求,中断请求标志为TF0;

(4)T1:定时器/计数器1的溢出中断请求,中断请求标志为TF1;

(5)TXD/RXD:串行口的中断请求,中断请求标志为TI或RI。

各中断源服务程序入口地址是固定的,如下:

中断源入口地址

外部中断0---------------------------------------0003H

定时器/计数器T0---------------------------------000BH

外部中断1---------------------------------------0013H

定时器/计数器T1---------------------------------001BH

串行口中断--------------------------------------0023H 可以用关中断指令“CLR EA”来屏蔽所有的中断请求,也可以用开中断指令“SET EA”来允许CPU接收中断请求,每一个中断另有所指可以用编程独立地控制为允许中断或关中断状态,每一个中断源的中断级别均可用编程进行设置。

中断系统结构如图1-3所示:

图1-3 AT89C51中断系统结构示意图

AT89C51提供了5个中断源,两个中断优先级控制,可实现两个中断服务嵌套。当CPU支持中断屏蔽指令后,可将一部分或所有的中断关断,只有打开相应的中断控制位后,方可接收相应的中断请求。程序设置中断的允许或屏蔽,也可设置中断的优先等级。

AT89C51 CPU在每一个机器周期按顺序去检查每一个中断源,在机器周期的S6按优先级处理所有被激活的中断请求,此时,如果CPU没有正在处理更高级别或者相同优先级的中断,或者现在的机器周期不是所执行指令的最后一个机器周期,或者CPU不是正在执行RETI指令或访问IE和IP

的指令,CPU则去执行中断以外的操作。

1.1.4 AT89C51单片机的定时/计数器

在控制系统中,经常需要用实时时钟实现定时或延时控制,例如定时中断、定时检测、定时操作等。有的地方也要用到计数器对外部事件进行计数,例如自动化包装线中对产品进行计数。在计算机控制中,实现定时功能一般可采用软件定时、非编程的硬件定时和可编程硬件定时三种方法。

可编程定时器可以通过软件来对定时时间进行修改,但定时是通过硬件来完成,以中断的方式通知CPU。因此功能强,使用方便灵活。

AT89C51单片机有两个16位定时器/计数器,分别为定时器0(T0)和定时器1(T1)。它们都其有定时器和计数功能,可用作定时控制、精确延时,以及对外部事件的计数和控制,其中T1还可以作为串行口的波特率发生器。

图1-4 MCS-51定时器/计数器结构框图

AT89C51单片机内部的定时/计数器的结构如图1-4所示,定时器T0特性功能寄存器TL0(低8位)和TH0(高8位)构成,定时器T1由特性功能寄存器TL1(低8位)和TH1(高8位)构成。定时寄存器的工作方式由特殊功能寄存器TMOD控制,定时器T0和T1的启动和停止计数由特殊功能寄存器TCON控制。同时管理定时器T0和T1的溢出标志等由特殊功能寄存器TCON控制。程序开始运行时,需要对TL0、TH0、TL1和TH1进行初始化编程,来定义它们的工作方式和设置定时器T0和T1的计数初值。

(1)计数器工作模式

计数功能是对外来脉冲进行计数。AT89C51芯片T0(P3.4)和T1(P3.5)两个输入引脚,分别是这两个计数器的计数输入端。每当计数器的计数输入引脚的脉冲发生负跳变时,计数器当前值加1。

(2)定时器工作模式

定时功能也是通过计数器的计数来实现的,不过此时的计数脉冲来自单片机的内部,即每个机器周期产生1个计数脉冲,也就是每经过1个机器周期的时间,计数器当前值加1。

AT89C51单片机的定时器/计数器具有4种工作方式(方式0、方式1、方式2和方式3),其控制字均在相应的特殊功能寄存器中,通过对它的特殊功能寄存器的编程,可方便地选择定时器2种工作模式和计数器4种工作方式。

1.2 SMC 1602A LCD液晶显示屏

单片机应用系统常需要连接键盘、显示器、打印机、A/D和D/A转换器等外设。其中,键盘和显示器是使用最频繁的外部设备,它们是构成人机对话的一种基本方式。

用LCD显示一个字符时比较复杂,因为一个字符由6×8或8×8点阵组成,既要找到和显示屏幕上某几个位置相对应的显示RAM区的8字节,又要使每字节的不同位为“1”,其它的为“0”,为“1”的点亮,为“0”的不亮,来组成这个特定的字符。

图1-5 SMC 1602管脚图

但对于内带字符发生器的控制器而说,显示字符就简单多了,可以使控制器工作在文本方式,根据在LCD上开始显示的行列号以及每行的列数

找到显示RAM相对应的地址,设立光标,在此位置送上该字符对应的代码即可。

SMC 1602A LCD为16*2的液晶显示屏,支持字母和数字的ASCII码显示,即给LCD送相应数字和字母的ASCII码,保证正确时序,即可显示相应的字符。SMC 1602的管脚图如图1-5所示。

SMC 1602采用标准的16脚接口。各引脚具体说明如下:

第1脚:VSS为电源地。

第2脚:VDD接5V电源正极。

第3脚:V0为液晶显示器对比度调整端。接地电源时,对比度是最高的;接正电源时,对比度是最低的(对比度太高时,会产生“鬼影”现象,这时对比度可以用一个10K的电位器进行调整)。

第4脚:RS为寄存器选择端。高电平“1”时,选择数据寄存器;低电平“0”时,选择指令寄存器。

第5脚:R/W为读写信号线。高电平“1”时,进行读操作;低电平“0”时,进行写操作。

第6脚:EN端为使能端。

第7~14脚:D0~D7引脚,为8位双向数据端口。

第15~16脚:空脚或背灯电源。15脚为背光正极,16脚为背光负极。

SMC 1602与单片机的连接图如图1-6所示。

图1-6 SMC 1602与单片机连接图

第2章可编程脉冲信号发生器的硬件设计

2.1 硬件系统的总体设计

2.1.1 系统的总体框图

基于AT89C51单片机的可编程脉冲信号发生器的系统框图如图2-1所示:

图2-1 系统框图

2.1.2 原理阐述

可编程脉冲信号发生器以AT89C51单片机为核心,以矩阵键盘作为输入设备,以液晶显示屏SMC1602为显示设备,通过矩阵键盘将脉冲信号参数频率、个数、占空比送入AT89C51单片机,接着单片机进行数据处理,驱动液晶屏在指定的位置显示相关的参数;根据不同的脉冲信号参数指标采取不同的控制策略,生成满足指标要求的脉冲信号。为提高系统的抗干扰性,将脉冲信号通过端口P3.0经过555定时器构成的史密斯触发器输出。

2.2 硬件系统各部分构成

2.2.1电源电路

如下页图2-2电源电路图所示,电源供电部分采用变压器降压、桥式整流电路整流、电容器滤波、三端稳压器7805稳压后供电。电源用220V市电经降压变压器TR1降压成8V交流电,然后经四个整流二极管(D1—D4)组

成的桥式整流电路变成直流电压,经C1滤波后送入7805芯片稳压成5V直流电源向液晶显示屏SMC1602、555定时器、单片机AT89C51等元器件供电。

图2-2 电源电路图

稳压器7805引脚图如图2-3所示:

图2-3 稳压器7805引脚图

引脚功能为:

1脚:输入。 2脚:接。 3脚:输出。

由于内部电流的限制,以及过热保护和安全工作区的保护,使它基本上不会损坏。如果能够提供足够的散热片,它们就能够提供大于 1.5A输出电流。虽然是按照固定电压值来设计的,但是当接入适当的外部器件后,就能获得各种不同的电压和电流。

2.2.2矩阵键盘

键盘是一种输入装置,通过键盘上任一按键可以向单片机输入信息。

按键开关是键盘的基本组成元件。

键盘结构的关键是如何把键盘上的每次按键动作转换成相应的ASCII

码送到单片机。按编码方式的不同,可以将键盘分为全编码键盘和非全编

码键盘。所谓全编码键盘,是每一个按键,通过全编码电路产生唯一对应

的编码信息。这种全编码键盘响应速度快,但是以复杂的硬件电路为代价的,而且其复杂性随着按键数的增加而大大增加,价格贵。所谓非编码键

盘,是利用简单的电路和软件配合来识别按键的位置(位置码),然后由单片机通过软件查表将位置码转换成需要的编码信息。虽然这种键盘响应速度不如全键盘快,但可以由CPU的处理速度来弥补[9]。这种键盘的优点是通过软件编码为键盘某些见的重新定义提供了极大的方便。考虑到本次设计的经济性与便携性,故采用非编码键盘作为可编程脉冲信号发生器的输入设备。非编码键盘结构图如图2-4所示。

图2-4 非编码键盘结构图

键盘上的按键一般排成行、列矩阵格式,每个交叉点上可接一个按键。在非编码键盘中,采用行扫描法来扫描识别按下的键。行扫描法的基本原理是,先有程序逐行对键盘进行扫描,再通过检测列的状态来确定按键的行列位置。

2.2.3脉冲信号输出电路

通过键盘将脉冲信号参数指标送入到AT89C51单片机。单片机从预设置空间中取出参数指标数据并加以处理,得到产生脉冲信号需要的数据,接着产生满足参数指标的脉冲信号。软件上,先调用显示子程序,关掉显示。然后调用显示子程序显示脉冲信号指标关键字频率(PL)、占空比(ZKB)、个数(NUM),提示用户输入脉冲信号参数指标。若没有按键或者发生窜键,则CPU处于等待状态,直到检测到有有效按键为止,这时调用按键分析子程序计算出被按按键的键值。

简易信号发生器设计制作

简易信号发生器设计制作 一、训练目的 (1)掌握正弦波、三角波、矩形波和方波发生电路的工作原理; (2)学会正弦波、三角波、矩形波和方波发生电路的设计方法; (3)进一步熟悉电子线路的安装、调试、测试方法。 二、工作原理 正弦波、三角板、矩形波是电子电路中常用的测试信号,如测试放大器的增益、通频带等均要用到正弦信号作为测试信号。下面分别介绍产生这三种信号电路结构和工作原理。 1.正弦信号发生器 正弦信号的产生电路形式比较多,频率较低时常用文氏电桥振荡器,图7-1为实用文氏电桥振荡电路。图中R 1、R 2、R 3、RW 2构成负反馈支路,二极管D 1、D 2构成稳幅电路,C 2、R 11(或R 12或R 13)、C 1、R 21(或R 22或R 23)串并联电路构成正反馈支路,并兼作选频网络。调节电位器RW 2可以改变负反馈的深度,以满足振荡的振幅条件和改善波形。二极管D 1、D 2要求温度稳定性好,特性匹配以确保输出信号正负半周对称,R 4接入用以消除二极管的非线性影响,改善波形失真。如K1接电阻R 11、K2接R 21,并且R 11= R 21=R ,C 1= C 2=C ,则电路的振荡频率为: 1 2f RC π= (7-1) 起振的幅值条件: 1 1f v R A R =+ (7-2) 图7-1 正弦信号发生器 通过调整RW 2可以改变电路放大倍数,能使电路起振并且失真最小。该电路可通过开关K1、K2选择不同的电阻以得到不同频率的信号输出。 2.方波和矩形波发生器

方波发生电路如图7-2,其基本原理是在滞回比较器的基础上增加了由R 4和C 1构成的积分电路,输出电压通过该积分电路送人到比较器的反相输入端。其中R 3 、D Z1和D Z2构成双向限幅电路,这样就构成了方波发生器电路,其工作原理如下: 假设在接通电源瞬间,输出电压o v 为Z V +(稳压二极管D Z1、D Z2额定工作时的稳压值),这时比较器同相端的输入电压为 2 12 Z R v V R R +≈ + (7-3) 同时输出电压o v 会通过电阻R 4给C 1充电,反相端的输入电压v -就会逐步升高,当反向输入端的电压v -略大于同相端输入电压v +时,比较器输出电压立即从Z V +翻转为Z V -,这时输出端电压o v 为Z V -,比较器同相端输入电压v +'为 2 12 Z R v V R R +'≈- + (7-4) 这时输出的电压o v 会通过R 4对C 1进行反向充电,当反相输入端的电压略低于v +'时,输出状态再翻转回来,如此反复形成方波信号。所产生方波信号的频率为 41 1 2f R C = 方波 (7-5) R 4 o 图7-2 方波发生电路

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

单片机课程设计信号发生器

单片机课程设计实验报告 电子信息工程学院 指导教师:***

08年6月30日 单片机课程设计实验报告 一.系统总体介绍 1)题目意义: 这次课程设计的题目我选择的是信号发生器,我之所以选择这个题目的原因有三个 ①它是一个DA转换的实验,在前不久的市电子大赛中,我们做的是AD的转换,所 以想将模数/数模都熟悉一遍,为今后可能遇到的接口实验打下坚实的基础; ②另外一个原因是用到的芯片是MAX518,该芯片是串行数模转换,运用I2C总线, 通过这个实验可以更好的运用串行通信,同时能够学习I2C总线的协议,掌握了一 门新的总线,我觉得比其他实验收获更大; ③MAX518的时序比较复杂,通过练习针对时序的编程可以更好的提高自己读PDF 资料的能力和编程的能力。 2)本人所做的工作 这个实验从始至终都是自己完成的。 ①程序的编写,程序的编写是我结合MAX518的时序图编写出来的,编程的重点在于 对与MAX518的编程,在编程的过程中对于应答信号的理解和处理是整个程序的核心,在单步调试中能够很明显的观测到SDA和SCL信号线上电平的变化; ②四种波形的表格数据的建立。表格的建立是通过MATLAB函数产生的。其函数分别 为:正弦波y=round(127*sin(0:2*pi/256:2*pi))+127 锯齿波y=round(0:1:255) 三角波y=round(0:2:255) Y=round(255:2:0) 方波直接是0和255 由于MATLAB产生的数据之间含有回车和空格,不符合汇编语言的语法规则,所以要用WORD对所得的数据进行处理,利用WORD的查找替换同能讲回车和空格替换为英文的逗号,其中回车的表示方法为^p ③学习KEIL和SSTFlashFlex51.exe的使用 ④元器件的购买和焊接 ⑤实验报告的完成 3)系统的主要功能 该系统能够产生正弦波,锯齿波,三角波和方波四种波形,同时能够产生16HZ,12HZ,10HZ,8HZ四种频率,也就是可以产生4*4=16种信号,通过8个按键

函数信号发生器(毕业设计)

陕西国防学院电子工程系毕业论文 摘要 本系统以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从0.001Hz~30KHz的低失真正弦波、三角波、矩形波等脉冲信号。输出波形的频率和占空比还可以由电流或电阻控制。另外由于该芯片具有调制信号输入端,所以可以用来对低频信号进行频率调制。 函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的。 关键词: ICL8038,波形,原理图,常用接法 1

陕西国防学院电子工程系毕业论文 目录 摘要 (1) 目录 (2) 第一章项目任务 (3) 1.1 项目建 (3) 1.2 项目可行性研究 (3) 第二章方案选择 (4) 2.1 [方案一] (4) 2.2 [方案二] (4) 第三章基本原理 (5) 3.1函数发生器的组成 (6) 3.2 方波发生器 (6) 3.3 三角波发生器 (7) 3.4 正弦波发生器 (9) 第四章稳压电源 (10) 4.1 直流稳压电源设计思路 (10) 4.2 直流稳压电源原理 (11) 4.3设计方法简介 (12) 第五章振荡电路 (15) 5.1 RC振荡器的设计 (15) 第六章功率放大器 (17) 6.1 OTL 功率放大器 (17) 第七章系统工作原理与分析 (19) 7.1 ICL8038芯片简介 (19) 7.2 ICL8038的应用 (19) 7.3 ICL8038原理简介 (19) 7.4 电路分析 (20) 7.5工作原理 (20) 7.6 正弦函数信号的失真度调节 (23) 7.7 ICL8038的典型应用 (24) 致谢 (25) 心得体会 (26) 参考文献 (27) 附录1 (28) 附录2 (29) 附录3 (30) 2

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

模拟电路课程设计-函数信号发生器

模拟电路课程设计——函数信号发生器 一、设计任务和要求 1 在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函 数信号发生器。 2 信号频率:1kHz~10kHz 3 输出电压:方波:Vp-p≤24V 三角波:Vp-p≤6V 正弦波: Vp-p>1V 4 方波:上升和下降时间:≤10ms 5 三角波失真度:≤2% 6 正弦波失真度:≤5% 二、设计方案论证 1.信号产生电路 〖方案一〗 由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。三角波 这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真较小。但是对于三角波的产生则有一定的麻烦,因为题目要求有10倍的频率覆盖系数,然而对于积分器的输入输出关系为: 显然对于10倍的频率变化会有积分时间dt的10倍变化从而导致输出电压振幅的10倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。 〖方案二〗 由积分器和比较器同时产生三角波和方波。其中比较器起电子开关的作用,将恒定的正、负极性的 方波 三角波 电位交替地反馈积分器去积分而得到三角波。该电路的优点是十分明显的: 1 线性良好、稳定性好;

2 频率易调,在几个数量级的频带范围内,可以方便地连续地改变频率, 而且频率改变时,幅度恒定不变; 3 不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波 形; 4 三角波和方波在半周期内是时间的线性函数,易于变换其他波形。 综合上述分析,我们采用了第二种方案来产生信号。下面将分析讨论对生成的三角波和方波变换为正弦波的方法。 2.信号变换电路 三角波变为正弦波的方法有多种,但总的看来可以分为两类:一种是通过滤波器进行“频域”处理,另一种则是通过非线性元件或电路作折线近似变换“时域”处理。具体有以下几种方案: 〖方案一〗 采用米勒积分法。设三角波的峰值为,三角波的傅立叶级数展开: 通过线性积分后: 显见滤波式的优点是不太受输入三角波电平变动的影响,其缺点是输出正弦波幅度会随频率一起变化(随频率的升高而衰减),这对于我们要求的10倍的频率覆盖系数是不合适的。另外我们在仿真时还发现,这种积分滤波电路存在这较明显的失调,这种失调使输出信号的直流电平不断向某一方向变化。 积分滤波法的失调图(Protel 99 SE SIM99仿真) 而且输出存在直流分量。 〖方案二〗 才用二极管-电阻转换网络折线逼近法。十分明显,用折线逼近正弦波时,如果增多折线的段数,则逼近的精度会增高,但是实际的二极管不是理想开关,存在导通阈值问题,故不可盲目的增加分段数;在所选的折线段数一定的情况下,转折电的位置的选择也影响逼近的精度。凭直观可以判知,在正弦波变化较快的区段,转折点应选择的密一些;而变化缓慢的区段应选的稀疏一些。 二极管-电阻网络折线逼近电路对于集成化来说是比较简单,但要采用分立元件打接则会用到数十个器件,而且为了达到较高的精度所有处于对称位置的电阻和

信号发生器毕业设计

信号发生器的设计与制作 系别:机电系专业:应用电子技术届:07届姓名:张海峰 摘要 本系统以AD8951集成块为核心器件,AT89C51集成块为辅助控制器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术测量使用。AD9851是AD公司生产的最高时钟为125 MHz、采用先进的CMOS技术的直接频率合成器,主要由可编程DDS系统、高性能模数变换器(DAC)和高速比较器3部分构成,能实现全数字编程控制的频率合成。 关键词AD9851,AT89C51,波形,原理图,常用接法

ABSTRACT 5 The system AD8951 integrated block as the core device, AT89C51 Manifold for auxiliary control devices, production of a function signal generator to produce low cost. Suitable for students to learn the use of electronic technology measurement. AD9851 is a AD produced a maximum clock of 125 MHz, using advanced CMOS technology, the direct frequency synthesizer, mainly by the programmable DDS systems, high-performance module converter (DAC) and high-speed comparator three parts, to achieve full Digital program-controlled frequency synthesizer. Key words AD9851, AT89C51, waveforms, schematics, Common Connection

PWM信号发生器的设计程序(veriloghdl)

PWM信号发生器的设计程序 module pwmgen(clk,rst,ce,addr,write,wrdata,read,bytesel,rddata,pwm); input clk,rst,ce; input [1:0]addr; input write,read; input[31:0]wrdata; output[31:0]rddata; input[31:0]bytesel; output pwm; reg[31:0]clk_div_reg,duty_cycle_reg; reg control_reg; reg clk_div_reg_sel,duty_cycle_reg_sel,control_reg_sel; reg[31:0]pwm_cnt,rddata; reg pwm; wire pwm_ena; always@(addr) begin clk_div_reg_sel<=0;duty_cycle_reg_sel<=0;control_reg_sel<=0; case(addr) 2'b00:clk_div_reg_sel<=1; 2'b01:duty_cycle_reg_sel<=1; 2'b10:control_reg_sel<=1; default: begin clk_div_reg_sel<=0; duty_cycle_reg_sel<=0; control_reg_sel<=0; end endcase end always@(posedge clk or negedge rst) begin if(rst==1'b0) clk_div_reg=0; else begin if(write & ce & clk_div_reg_sel) begin if(bytesel[0]) clk_div_reg[7:0]=wrdata[7:0]; if(bytesel[1]) clk_div_reg[15:8]=wrdata[15:8];

信号发生器设计---实验报告

信号发生器设计 一、设计任务 设计一信号发生器,能产生方波、三角波和正弦波并进行仿真。 二、设计要求 基本性能指标:(1)频率范围100Hz~1kHz;(2)输出电压:方波U p-p≤24V,三角波U =6V,正弦波U p-p>1V。 p-p 扩展性能指标:频率范围分段设置10Hz~100Hz, 100Hz~1kHz,1kHz~10kHz;波形特性方波t r<30u s(1kHz,最大输出时)用仪器测量上升时间,三角波r△<2%,正弦波r <5%。(计算参数) ~ 三、设计方案 信号发生器设计方案有多种,图1是先产生方波、三角波,再将三角波转换为正弦波的组成框图。 图1 信号发生器组成框图 主要原理是:由迟滞比较器和积分器构成方波——三角波产生电路,三角波在经过差分放大器变换为正弦波。方波——三角波产生基本电路和差分放大器电路分别如图2和图4所示。 图2所示,是由滞回比较器和积分器首尾相接形成的正反馈闭环系统,则比较器A1输出的方波经积分器A2积分可得到三角波,三角波又触发比较器自动翻转形成方波,这样即可构成三角波、方波发生器。其工作原理如图3所示。

图2 方波和三角波产生电路 图3 比较器传输特性和波形 利用差分放大器的特点和传输特性,可以将频率较低的三角波变换为正弦波。(差模传输特性)其基本工作原理如图5所示。为了使输出波形更接近正弦波,设计时需注 应接近晶体意:差分放大器的传输特性曲线越对称、线性区越窄越好;三角波的幅值V m 管的截止电压值。 图4 三角波→正弦波变换电路

图5 三角波→正弦波变换关系 在图4中,RP 1调节三角波的幅度,RP 2调整电路的对称性,并联电阻R E2用来减小差分放大器的线性区。C 1、C 2、C 3为隔直电容,C 4为滤波电容,以滤除谐波分量,改善输出波形。取Ic2上面的电流(看输出) 波形发生器的性能指标: ①输出波形种类:基本波形为正弦波、方波和三角波。 ②频率范围:输出信号的频率范围一般分为若干波段,根据需要,可设置n 个波段范围。(n>3) ③输出电压:一般指输出波形的峰-峰值U p-p 。 ④波形特性:表征正弦波和三角波特性的参数是非线性失真系数r ~和r △;表征方波特性的参数是上升时间t r 。 四、电路仿真与分析 实验仿真电路图如图

基于运放的信号发生器设计

北京工业大学课程设计报告 模电课设题目基于运放的信号发生器设计 班级:1302421 学号:13024219 姓名:吕迪 组号:7 2015年 6月

一、设计题目 基于运放的信号发生器设计 二、设计任务及设计要求 (一)设计任务 本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求 基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。 (三)扩展要求 (1)扩大信号频率的范围; (2)增加输出功率 (3)具有输出频率的显示功能。 三、设计方案 (一)设计框图 (二)设计方案选择思路 我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。 (三)元器件清单 芯片:LM324*2 40106*1 二极管:1N4148*2 电容:10μF*1、10nf *4 电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1 电位器:50k双联*1、10k*2、50k*1 (四)芯片资料

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

任意信号发生器毕业设计开题报告书

苏州科技学院 毕业设计开题报告 设计题目任意信号发生器的硬件设计(基于89C51实现)院系电子与信息工程学院 专业电子信息工程 班级电子0911 学生姓名XXXXXXX 学号 设计地点 指导教师 2013 年3月31 日

设计题目:任意信号发生器的硬件设计(基于89C51实现)课题目的、意义及相关研究动态: 一、课题目的: 信号发生器是一种能产生模拟电压波形的设备,这些波形能够校验电子电路的设计。信号发生器广泛用于电子电路、自动控制系统和教学实验等领域,它是一种可以产生正弦波,方波,三角波等函数波形的一起,其频率范围约为几毫赫到几十兆赫,在工业生产和科研中利用信号发生器输出的信号,可以对元器件的性能鉴定,在多数电路传递网络中,电容与电感组合电路,电容与电阻组合电路及信号调制器的频率,相位的检测中都可以得到广泛的应用。因此,研究信号发生器也是一个很重要的发展方向。 常用的信号发生器绝大部分是由模拟电路构成的,但这种模拟信号发生器用于低频信号输出往往需要的RC值很大,这样不但参数准确度难以保证,而且体积和功耗都很大,而本课题设计的函数信号发生器,由单片机构成具有结构简单,价格便宜等特点将成为数字量信号发生器的发展趋势。 本课题采用的是以89c51为核心,结合 DAC0832实现程控一般波形的低频信号输出,他的一些主要技术特性基本瞒住一般使用的需要,并且它具有功能丰富,性能稳定,价格便宜,操作方便等特点,具有一定的推广作用。 二、课题意义: (1)任意信号发生器主要在实验中用于信号源,是电子电路等各种实验必不可少的实验设备之一,掌握任意信号发生器的工作原理至关重要。 (2)任意信号发生器能产生某些特定的周期性时间任意波形(正波、方波、三角波)信号,频率范围可从几个微赫到几十兆赫任意信号发生器在电路实验和设备检测中具有十分广泛的用途。 (3)本课题主要研究开发一个基于51单片机的实验用任意信号发生器,不但成本较低而精度较高,最重要的是开发简单易于调试,具有一定社会价值和经济价值。 (4)任意信号发生器作为一种常见的电子仪器设备,既能够构成独立的信号源,也可以是高新能的网络分析仪,频谱仪以及自动测试装备的组成部分,任意信号发生器的关键技术是多种高性能仪器的支撑技术,因为它是能够提高质量的精密信号源及扫描源,可使相应系统的检测过程大大简化,降低检测费用并且提高检测精度。

多功能信号发生器课程设计

《电子技术课程设计》 题目:多功能信号发生器 院系:电子信息工程 专业:xxxxxxxx 班级:xxxxxx 学号:xxxxxxxx 姓名:xxx 指导教师:xxx 时间:xxxx-xx-xx

电子电路设计 ——多功能信号发生器目录 一..课程设计的目的 二课程设计任务书(包括技术指标要求) 三时间进度安排(10周~15周) a.方案选择及电路工作原理; b.单元电路设计计算、电路图及软件仿真; c.安装、调试并解决遇到的问题; d.电路性能指标测试; e.写出课程设计报告书; 四、总体方案 五、电路设计 (1)8038原理, LM318原理, (2)性能\特点及引脚 (3)电路设计,要说明原理 (4)振动频率及参数计算 六电路调试 要详细说明(电源连接情况, 怎样通电\ 先调试后调试,频率调试幅度调试波行不稳调试 七收获和体会

一、课程设计的目的 通过对多功能信号发生器的电路设计,掌握信号发生器的设计方法和测试技术,了解了8038的工作原理和应用,其内部组成原理,设计并制作信号发生器能够提高自己的动手能力,积累一定的操作经验。在对电路焊接的途中,对一些问题的解决能够提高自己操作能力随着集成制造技术的不断发展,多功能信号发射器已经被制作成专用的集成电路。这种集成电路适用方便,调试简单,性能稳定,不仅能产生正弦波,还可以同时产生三角波和方波。它只需要外接很少的几个元件就能实现一个多种波、波形输出的信号发生器。不仅如此,它在工作时产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%,输出频率范围为0.01Hz~300kHz;方波的输出电压幅度为零到外接电源电压。因此,多功能信号发生器制作的集成电路收到了广泛的应用。 二、课程设计任务书(包括技术指标要求) 任务:设计一个能产生正弦波、方波、三角波以及单脉冲信号发生器。 要求: 1.输出频率为f=20Hz~5kHz的连续可调正弦波、方波和三角波。 2.输出幅度为5V的单脉冲信号。 3.输出正弦波幅度V o= 0~5V可调,波形的非线性失真系数γ≤

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

函数信号发生器的设计与制作

Xuchang Electric V ocational College 毕业论文(设计) 题目:函数信号发生器的设计与制作 系部:电气工程系_ 班级:12电气自动化技术 姓名:张广超 指导老师:郝琳 完成日期:2014/5/20

毕业论文内容摘要

目录 1引言 (3) 1.1研究背景与意义 (3) 1.2研究思路与主要内容 (3) 2 方案选择 (4) 2.1方案一 (4) 2.2方案二 (4) 3基本原理 (5) 4稳压电源 (6) 4.1直流稳压电源设计思路 (6) 4.2直流稳压电源原理 (6) 4.3集成三端稳压器 (7) 5系统工作原理与分析 (8) 5.1ICL8038芯片性能特点简介 (8) 5.2ICL8038的应用 (8) 5.3ICL8038原理简介 (8) 5.4电路分析 (9) 5.5ICL8038内部原理 (10) 5.6工作原理 (11) 5.7正弦函数信号的失真度调节 (11) 5.8ICL8038的典型应用 (12) 5.9输出驱动部分 (12) 结论 (14) 致谢 (15) 参考文献 (16) 附录 (17)

1引言 信号发生器是一种能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波(含方波)、正弦波的电路被称为函数信号发生器。 1.1研究背景与意义 函数信号发生器是工业生产、产品开发、科学研究等领域必备的工具,它产生的锯齿波和正弦波、矩形波、三角波是常用的基本测试信号。在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波信号产生器作为时基电路。例如,要在示波器荧光屏上不失真地观察到被测信号波形,要求在水平偏转线圈上加随时间线性变化的电压——锯齿波电压,使电子束沿水平方向匀速搜索荧光屏。对于三角波,方波同样有重要的作用,而函数信号发生器是指一般能自动产生方波正弦波三角波以及锯齿波阶梯波等电压波形的电路或仪器。因此,建议开发一种能产生方波、正弦波、三角波的函数信号发生器。函数信号发生器根据用途不同,有产生三种或多种波形的函数发生器,其电路中使用的器件可以是分离器件,也可以是集成器件,产生方波、正弦波、三角波的方案有多种,如先产生正弦波,根据周期性的非正弦波与正弦波所呈的某种确定的函数关系,再通过整形电路将正弦波转化为方波,经过积分电路后将其变为三角波。也可以先产生三角波-方波,再将三角波或方波转化为正弦波。随着电子技术的快速发展,新材料新器件层出不穷,开发新款式函数信号发生器,器件的可选择性大幅增加,例如 ICL8038就是一种技术上很成熟的可以产生正弦波、方波、三角波的主芯片。所以,可选择的方案多种多样,技术上是可行的[1]。 1.2研究思路与主要内容 本文主要以ICL8038集成块为核心器件,制作一种函数信号发生器,制作成本较低。适合学生学习电子技术实验使用。ICL8038是一种具有多种波形输出的精密振荡集成电路,只需要个别的外部元件就能产生从几赫到几百千赫的低失真正弦波、三角波、矩形波等脉冲信号。基于ICL8038函数信号发生器主要电源供电、波形发生、输出驱动三大部分组成。电源供电部分:主要由集成三端稳压管LM7812和LM7912构成的±12V直流电压作为整个系统的供电。波形发生部分:主要由单片集成函数信号发生器ICL8038构成。通过改变接入电路的电阻或电容的大小,能够得到几赫到几百千赫不同频率的信号。输出驱动部分:主要由运放LF353构成。由于ICL8038的输出信号幅度较小,需要放大输出信号。ICL8038的输出信号经过运放LF353放大后能够得到输出幅度较大的信号[2]。

相关主题
文本预览
相关文档 最新文档