当前位置:文档之家› 集成电路版图设计实验指导书

集成电路版图设计实验指导书

集成电路版图设计实验指导书
集成电路版图设计实验指导书

集成电路版图设计实验指导书

实验一绘制标准逻辑单元版图

实验目的:

了解集成电路版图设计基本原理;熟悉版图设计软件cadence virtuoso的使用。

实验内容:

学习集成电路版图设计基础;学习cadence virtuoso基本操作;绘制完成标准逻辑单元版图。

实验原理:

集成电路版图设计是电路系统设计与集成电路工艺之间的中间环节。通过集成电路版图设计,将立体的电路系统转变为二维平面图形。利用版图制作掩模板,就可以由这些图形限定工艺加工过程,最终还原为基于半导体材料的立体结构。

以最基本的MOS器件为例,工艺生产出的器件应该包含源漏扩散区、栅极以及金属线等结构层。按照电路设计的要求,在版图中用不同图层分别表示这些结构层,画好各个图层所需的图形,图形的大小等于工艺生产得到的器件尺寸。正确摆放各图层图形之间的位置关系,绘制完成的版图基本就是工艺生产出的器件俯视图。

器件参数如MOS管的沟道尺寸,由电路设计决定,等于有源区与栅极重叠部分的尺寸,如图1。其他尺寸由生产工艺条件决定,不能随意设定。

图1

在工艺生产中,相同结构层相连即可导电,而不同结构层之间是由氧化层隔绝的,相互没有连接关系,只有制作通孔才能在不同结构层之间导电。与工艺生产相对应的版图中默认不同图层之间的绝缘关系,因此可以不必画氧化层,却必须画各层之间的通孔。另外,衬底在版图设计过程中默认存在,不必画出。而各个N阱、P阱均由工艺生产过程中杂质掺杂形成,版图中必须画出相应图形。

实验步骤:

打开指定电路图,浏览并简单分析电路结构;

为电路新建版图文件;

根据版图基本原理,为电路绘制版图。

(详细内容参考《Cadence virtuoso 使用简介》)

实验报告要求:

应包含对电路功能的简单分析,以及绘制完成的版图图片。

思考题:

观察《Cadence virtuoso 使用简介》中给出的反相器版图,思考为什么把两MOS管栅极放在一条直线上,而不是并排放置。

实验二简单数字逻辑模块版图设计

实验目的:

了解集成电路版图设计规则;熟悉版图设计技巧;掌握基于DIV A的版图验证方法。

实验内容:

学习版图设计规则、设计方法及相关技巧;学习集成电路版图验证方法;完成指定逻辑电路的版图设计及验证。

实验原理:

(1)图形尺寸,

版图设计过程中所涉及到的所有图形尺寸,一方面由电路设计决定,比如MOS管的沟道尺寸等器件特性参数;另一方面由工艺生产线提供的DRC (设计规则)文件决定。DRC文件设定了包括最小图形尺寸、最小图形间距、图形重叠关系等参数。而不同工艺生产线的DRC文件参数不同。整个版图设计过程必须严格按照DRC文件的参数设定进行。

(2)源漏共用,

根据DRC文件,版图设计中器件之间有最小间隔距离限制,即使相同类型相同参数的器件之间也必须保持最小间距。而MOS管的结构决定它具有源漏两极可互换的特点。利用这一原理,可以得出源漏共用的设计方法。

所谓源漏共用,指当两个不同的MOS管A、B属于同一类型(如PMOS)时,如果有连接到相同节点的电极(如源极),在版图上就可以将这两个源极画在一起,即两个MOS管共用同一个源极。如图1。

图1 (a)源漏共用前(b)源漏共用后

源漏共用可以有效缩小版图面积,降低成本。

注意:由于P型衬底上,PMOS管通常制作在N阱内,而N阱之间最小间

距极大,所以普通PMOS管的N 阱也要实现共用。制作在P阱内的N管道理相同。

(3)棒状图设计,

为了方便地从电路中得到最有效的源漏共用版图,可以使用“棒状图设计”,在绘制版图之前先制作一个结构草图。以图2所示电路示意图为例,利用棒状图设计制作结构草图,如图3。

图2

图3

因为采用共用区域,所有P管紧挨在一起,所有N管也紧挨在一起。所以在图中可以用棒状图形代表有源扩散区(按照惯例P管在上,N管在下),细短线代表栅极。显然,A、B、C三对MOS管的有源区相互断开,没有实现源漏共用,如果将某一管源漏翻转,制作如图4的结构图,即可实现一处源漏共用。

图4

在棒状图中,也可以将器件按电路图连接,建立好连接关系示意图,方便绘制版图。

实验步骤:

浏览电路,分析电路功能;

制作棒状结构图;

调用NMOS、PMOS单元版图,并调整器件尺寸,为电路绘制版图(注意衬底电位的连接);

利用DIV A工具验证版图。

(详细内容参考《Cadence virtuoso 使用简介》)

实验报告要求:

应包含对电路功能的简单分析,绘制完成的版图图片。

实验三模拟电路版图设计

实验目的:

掌握模拟电路版图设计技巧;了解集成电路版图基本布线规则。

实验内容:

学习大尺寸MOS管版图画法;学习集成电路版图布局布线规则;设计完成两级运放版图。

实验原理:

(1)大尺寸MOS管画法,

在集成电路设计中,要实现大电流的主要方法就是之一就是增大MOS 管沟道宽度,所以在电路图中常会看到宽长比为10以上甚至100以上的细长管子。以宽长比100的管子为例,如果沟道长1微米,则宽100微米,对于如今的集成电路规模,100微米是个很大的尺寸。并且由于器件本身的寄生效应,过长的栅极会导致很大的寄生参数,影响电路性能。

为了减小寄生参数,唯一的办法就是缩短版图上的栅极长度。缩短栅极长度不是减小沟道宽度,而是将一个细长的管子拆分成几段,比如将100微米长的栅做成4个25微米长。这样,与原设计的管子相比宽长比不变,而每个小管子的栅长变为原来的1/4,寄生参数也变为1/4。在版图上四个栅极并联,并联后的寄生参数是原来的1/16。

注意:大尺寸管应单独做好衬底接触,并与周围器件隔离。

(2)叉指结构

这种细长的大尺寸管一般出现在放大电路的输入级,每两个一组以对管的形式出现。两管被拆分之后将分布在一个矩形区域内。因为不能保证衬底材料在不同位置的性质完全相同,同时又必须尽量使输入对管特性一致,做到最大限度的匹配,所以应设法使拆分后的对管较均匀的分布在这一区域内,在材料性质上取平均值。

基本方法是使两管拆分后的小管子逐个相邻,利用源漏共用,交叉分布,称为“叉指结构”。如图1。

图1

然而,基本的叉指结构只能做到器件在区域内轴对称分布,为了实现更好的匹配效果,一般要做两组叉指,使两管拆分后的小管子在矩形区域内形成中心对称的分布。如图2。

图2

(2)布局布线

布局:集成电路版图基本布局规则是PMOS管在上、NMOS管在下,夹在一条电源线和一条地线之间,构成一行。其中要尽量保证电源线平直,各管子栅极与电源线垂直。并根据电路功能划分模块,每一模块中除电源和地线外的左右两侧分别作为输入和输出信号线的布线位置。各行依次并列排开,实现电源线与地线的共用。

布线:由于不同结构层之间有氧化层隔绝,两层材料重叠的位置必然形成寄生电容。为减小寄生效应,相邻结构层中的布线应尽量避免重叠,更不能平行排布。如定义布局之初MOS管的栅极方向为纵向,则与之紧邻的第一层金属布线——一铝应采用横向排布。同理,一铝之上紧邻的二铝应采用纵向排布,以此类推。

另外,一铝布线应该尽量在器件周边绕行,避免跨越器件影响器件性能。

错误布线正确布线

图3 图中方框代表器件,黑线代表金属线

布线时应注意通孔数量。一般情况下,衬底接触和有源区接触布线需要在整个衬底接触区域内,保持一定间距,连续制作一排通孔;模拟电路部分多晶硅栅引线处,制作两个通孔;数字电路部分由于面积限制,多晶硅栅引线处可以只制作一个通孔;相邻金属层之间,如果面积允许,至少制作两个接触孔。

实验步骤:

浏览电路,分析电路功能;

规划版图布局;

调用MOS管单元版图,调整器件尺寸,绘制大尺寸器件及版图;

完成版图布线;

用DIV A工具验证版图。

(详细内容参考《Cadence virtuoso 使用简介》)

实验报告要求:

应包含对电路功能的简单分析,绘制完成的版图图片。

Cadence virtuoso 使用简介

一、启动软件并浏览电路图

Cadence virtuoso 原是基于linux操作系统的软件,但为了教学使用方便,本实验使用虚拟机实现linux以及对Cadence virtuoso的操作。首先启动虚拟机软件,以及虚拟的linux系统,系统账号:tang,系统密码:ictang。

进入系统后,双击名为“icfb.sh”的图标,弹出如图1.1所示对话框,点击“运行”,启动Cadence软件。

图1.1 启动后,出现如图1.2所示的窗口,称为CIW 窗口。

图1.2 在CIW窗口中依次点击“Tools”—“library manager”打开库文件管理器,并从中单击选择所需的library—cell—view,双击“schematic”打开目标电路图。例如依次点击LTC3400—inv—schematic,如图1.3。

图1.3 打开schematic editing 窗口如图1.4,浏览电路图,其中l 代表MOS 管沟道长度,w代表MOS管沟道宽度。也可以选择某一器件,使用快捷键“q”查看属性。经过简要分析之后,可以开始为电路绘制版图。

图1.4

二、绘制版图

2.1. 新建版图文件

在库文件管理器菜单中依次点击file—new—cell view,出现如图2.1所示的新建文件窗口。注意,版图文件的library name(库名)、cell name(单元名)必须与电路文件相同。点击“tool”右侧的工具选择按钮,选择“virtuoso”,点击

OK,完成新文件创建。

图2.1

2.2. 界面介绍

Virtuoso操作界面由两部分组成,分别是layout editing(版图编辑窗口)如图2.2和LSW(图层选择窗口)图2.3。

2.2.1. layout editing

对版图的编辑通过一系列指令完成。版图编辑窗口中,顶端显示文件所对应的库名、单元名、文件类型信息。另外,窗口由三部分组成,分别是icon menu (图标菜单)、menu banner(菜单栏)、status banner(状态栏)。

icon menu(图标菜单)位于版图编辑窗口左侧,列出了常用命令的图标,只需将鼠标移动到某一图标上,图标下方就会显示该图标对应的指令。

menu banner(菜单栏)位于版图编辑窗口上方,包含编辑版图所需要的所有指令,并按相应的类别分组。常用指令及对应快捷键如下表。

注意:指令快捷键操作区分大小写。

status banner(状态栏)位于菜单栏上方,显示鼠标所在位置的坐标、当前编辑指令等编辑状态信息。

状态栏

菜单栏

图标菜单

图2.2

2.2.2. LSW

LSW,即layer select window(图层选择窗口)。该窗口显示设计版图所用的工艺库文件的位置、可供选择和当前选中的版图图层,以及各图层的属性。如图2.3,显示当前所用工艺库文件位于“MYLIB”目录下,当前选择的图层为“active”。其中,工艺库文件在工程创建之初已经确定,不用再做操作。而可供选择的图层,根据不同设计需求会有所不同,并可以自己编辑设定。常用图层名称及其含义如

图2.3

2.3. 绘制版图

以反相器为例,分别介绍PMOS 和NMOS 版图,及版图设计基本操作。

2.3.1. 绘制PMOS 版图

第一步:绘制有源区。

在LSW 中,点击active ,即可选中有源扩散区图层,然后切换至layout editing 窗口,使用Rectangle 指令,根据图1.4中电路图提供的设计参数,画出长4.2um 、宽3um 的矩形。绘制过程中,应注意使用ruler 指令,精确量取所需尺寸。

第二步:多晶硅栅极。

在LSW 中,选择poly 层,并在layout editing 窗口中画出长5.4um 、宽0.6um 的矩形。有源区与多晶硅栅的位置关系如图2.4。

图2.4

第三步:表明器件类型。

为了表明所画的是PMOS管,需要在已完成的图形基础上添加pselect层。绘制pselect层,使其覆盖整个有源区,并与有源区边缘相距0.6um,即覆盖有源区0.6um。

第四步:制作N阱。

因为芯片生产一般使用P型材料做衬底,所以,PMOS管必须制作在N阱内,所以需要在PMOS管版图中添加一层nwell,使其覆盖有源区1.8um。完成如图2.5。

图2.5

第五步:衬底连接。

PMOS管衬底必须连接到高电位。所以需要在衬底做通孔,并用金属线将其连接到电源vdd。首先在nwell 中作边长1.2um 的正方形active,并绘制nselect 覆盖active层0.6u;然后选取cc在active中心画正方形通孔(标准尺寸边长0.3um,不同工艺要求不同);最后选取metal1,画3um宽金属引线覆盖衬底通孔及nselect。

注意:半导体衬底材料必须先制作active有源区,才能通过通孔与金属引线连接。

第六步:布线。

PMOS管的各个电极都需要连接到相应的输入输出信号和电源上,就需要进行布线。布线需要在有源区内画出若干通孔。注意,源区需要覆盖通孔最少0.2um,且通孔之间间距1um。完成通孔后,选取metal1,画两个矩形,覆盖有源区内的cc通孔0.3um,并拉伸源极金属线,使其覆盖衬底通孔。如图2.6

图2.6

2.3.2. 绘制NMOS版图

NMOS版图的绘制与PMOS基本相同。同样从有源区开始,最后完成衬底连接与布线。不同的是,因为是P型衬底,画NMOS管不需要再做P阱。完成的NMOS版图如图2.7。其中有源区长2.1um、宽3.6um;栅长0.6um、宽3.3um;nselect覆盖有源区0.6um。

图2.7

2.3.3. 完成器件连接关系并添加端口

对于反相器,PMOS与NMOS管栅极相连作为输入、漏极相连作为输出,因此可以在版图中直接使用poly 和metal1将两管对应电极相连,完成器件的连接关系。而端口则必须有金属布线。因此,两管漏极的metal1可直接作为输出端口,输入端口就需要在两管相连的栅极上做通孔,使poly覆盖通孔0.3um,并用metal1进行金属布线。

完成版图绘制后,如图2.8从LSW 中选择metal1(pin)。

图2.8

在版图编辑窗口中的菜单栏,选择create——pin。出现如图2.9的窗口,开始为版图添加端口。注意,电源端口与输入输出端口有所不同。

输入输出端口应在“mode”选项后选择rectangle模式,在create shape pin 窗口编辑。在terminal names (端口名)一栏,填写与电路图中端口相同的名字;在I/O type(输入输出类型)选项中,选取与电路图中端口相同的类型。并选择“display pin name”。然后在版图编辑窗口中需要添加端口的位置画一小矩形,之后再单击一次,即完成一个端口。这里的端口图层仅表示连线关系,不生成掩模板,无所谓规则,只要与实际版图上的铝线连接即可。

图2.9 添加电源端口需要选择sym pin 模式,打开create symbolic pin 窗口,如图2.10。以添加vdd端口为例,端口名应为“vdd!”,I/O type 选为“jumper”,pin type 选择“metal1”。gnd 端口的设置方法与vdd相同。

另外,可以在create symbolic pin窗口中选择“shape pin”切换至create shape pin窗口。

图2.10 完整的CMOS反相器版图如图2.11。

图2.11

三、版图验证与检查

版图的验证有两种模式DIV A 和DRACULA,前者操作相对简单,后者工作效率较高。两者操作不同,所使用的规则文件也不同,应根据实际的工艺库以及模型库提供的规则文件选择相应模式。

本实验采用基于DIV A 的方式。

3.1. DRC

Design rule checking (设计规则检查)。版图的设计必须根据DRC 规则文件进行,不同工艺的DRC 规则文件不同。比如通孔的大小等尺寸问题以及图形间距,都由DRC规则文件决定。DRC 是版图设计过程中的必要步骤,建议完成一部分设计之后就做一次,分阶段进行。避免完成全图后再做DRC,错误之间相互牵连不便修改。

DIV A 下的DRC 规则文件名为divaDRC.rul。通常与工艺库文件存放在相同目录。

在版图编辑窗口,单击菜单verify ——DRC,弹出DRC 规则检查对话框,如图3.1。

图3.1

Checking method指要检查的版图类型,包括三个可选项:

Flat,检查版图中所有图形,不检查子版图模块;

Hierarchical,利用层次之间的结构关系和模式识别优化,检查电路中每个单元块内部是否正确;

hier w/o optimization利用层次之间的结构关系而不用模式识别优化,来检查电路中每个单元块。

Checking Limit可以选择检查哪一部分的版图

Full,检查整个版图;

Incremental检查自从上一次DRC检查以来,改变的版图;

by area,在指定区域进行DRC检查。一般版图较大时,可以分块检查。

如果选择这种方式后,Coordinate这个输入框就变为可输入。可以在这个框内输入坐标,用矩形的左下角和右上角的坐标来表示。格式为:12599:98991 115682:194485。或者先单击Sel by Cursor,然后用鼠标在版图上选中一个矩形,这个输入框也会出现相应的坐标。如果不出现可以多选几次。

Switch Names

在DRC文件中,我们设置的switch在这里都会出现。这个选项可以方便我们对版图文件进行分类检查。这在大规模的电路检查中非常重要。本实验中暂不使用。

Run-Specific Command File与Inclusion Limit,这两项不是必需的,可以根据默认设定。

Echo Commands选上时在执行DRC的同时在CIW窗口中显示DRC文件。Rules File指明DRC规则文件的名称,默认为divaDRC.rul。

Rules Library这里选定规则文件在哪个库里。

Machine指明在哪台机器上运行DRC命令。

local表示在本机上运行。对于我们来说,是在本机运行的,选local。

remote表示在远程机器上(如服务器)运行。并在Machine文本框中输入远程机器的名字。

填好各个选项及相关信息,可以开始DRC,点击OK,可以在CIW 窗口看到运行信息。如果有错,CIW窗口会提示错误数量及错误类型,并在版图编辑窗口出现闪烁标记。错误在版图文件中可以看到,另外也可以选择Verify-Markers-Find菜单来帮助找错。单击菜单后会弹出一个窗口,在这个窗口中单击apply就可以显示第一个错误。同样,可以在菜单中选择Verify-Markers-Explain来看错误的原因提示。选中该菜单后,用鼠标在版图上出错了的地方单击就可以了。也可以选择Verify-Markers-Delete把这些错误提示删除。

3.2. Extractor

Extractor 版图提取,用来提取版图网表信息。在版图编辑窗口菜单中选择verify-extract 即可打开如图3.2的对话框。

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

PCB版图设计报告

兰州交通大学电信学院课程设计实验报告 实验名称:负反馈放大电路PCB设计 无线话筒PCB设计(选作) 试验日期: 2012年6月25日 班级: 电子科学与技术092班 姓名: 刘光智 学号: 200910112

Altium designer简介 Altium Designer 提供了唯一一款统一的应用方案,其综合电子产品一体化开发所需的所有必须技术和功能。Altium Designer 在单一设计环境中集成板级和FPGA系统设计、基于FPGA和分立处理器的嵌入式软件开发以及PCB版图设计、编辑和制造。并集成了现代设计数据管理功能,使得Altium Designer成为电子产品开发的完整解决方案-一个既满足当前,也满足未来开发需求的解决方案。 一、实验目的 1.了解并学会运用Altium designer软件绘制简单PCB 2.会运用Alitum designer软件设计库元件 3.掌握印刷电路板布线流程 4.掌握印刷电路板设计的基本原则 二、设计内容 1.要求用Alitum designer软件画出电路原理图 2.按照所画原理图自动生成PCB版图 3.会自己设计元件和库 三、实验步骤(负反馈放大器PCB设计) 1、新建工程、为工程添加项目:在D盘新建一个自己的文件夹重命名为ffk,运行Alitum designer软件,然后单击文件/新建/工程/PCB工程,然后右击所建的PCB工程选择给工程添加原理图,然后添加PCB,建完PCB工程保存工程到D/ffk内,保存时三个文件都命名为ffk.扩展名 2、画原理图:在原理图窗口画出所要画的PCB原理图,本次实验所画电路图如图1: 图1 3、对所画电路图进行编译:点击工程/Compile Document mic.SchDoc,然后点击工程/Compile PCB Project PCB_mic.PrjPCB,然后打开Messages窗口查看编译结果,若有错误按照提示对错误进行改正再编译,直至没有错误结束编译

CMOS异或门集成电路课程设计

课程设计任务书 学生姓名:王帅军专业班级:电子1103班 指导教师:封小钰工作单位:信息工程学院 题目: CMOS异或门 初始条件: 计算机、ORCAD软件、L-EDIT软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:2周 2、技术要求: (1)学习ORCAD和L-EDIT软件。 (2)设计一个CMOS异或门电路。 (3)利用ORCAD和L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 2014.12.29布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 2014.12.29-12.31学习ORCAD和L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。 2015.1.1-1.8对CMOS异或门电路进行设计仿真工作,完成课设报告的撰写。 2015.1.9 提交课程设计报告,进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要............................................................................................................................................. I Abstract ...................................................................................................................................... I I 1绪论 (1) 2 异或门介绍 (2) 3仿真电路设计 (3) 3.1 ORCAD软件介绍 (3) 3.2仿真电路原理图 (4) 3.3仿真分析 (5) 4版图设计 (8) 4.1 L-EDIT软件介绍 (8) 4.2版图绘制 (8) 4.3 CMOS异或门版图DRC检查 (10) 5心得体会 (11) 参考文献 (12) 附录 (123)

异或门版图设计报告

西安科技大学 高新学院 微电子专业实验报告 专业:微电子 班级:1001 姓名:黄升 学号:1001050120 指导老师:王进军

设计软件:tanner软件 实验目的和要求: 1、掌握L-edit软件的基本设定和集成电路工艺和版图的图层关系。 2、根据性能和指标要求,明确设计要求和规则。 3、电路版图实现过程中电源线的走法。 4、掌握L-edit和S-edit仿真环境,完成异或门的仿真。 5、掌握LVS环境变量。 异或门版图的设计方法: 1、确定工艺规则。 2、绘制异或门版图。 3、加入工作电源进行分析。 4、与LVS比较仿真结果。 实验内容: 完成COMS异或门版图设计,COMS异或门原理如下,要求在S-edit 中画出每一电路元件,并给出输入输出端口及电源线和地线。(一)异或逻辑关系式及真值表:F=A⊕B=A′B+ AB′

(二)原理图: (三)版图:

(四)仿真分析: Main circuit:Module0 .include“E:\ProgramFiles\tannerEDA\T-Spice10.1\models\m12_125.md M1 N3 A Gnd Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M2 F B N3 Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M3 F N3 B Gnd NMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M4 N3 A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M5 F B A Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u M6 F A B Vdd Vdd PMOS L=2u W=22u AD=66p PD=24u AS=66p PS=24u v7 Vdd Gnd 5.0 v8 B Gnd pulse(0.05.00 In In 100n 200n) v9 A Gnd pulse(0.05.00 In In 100n 400n) .tran In 800n .print tran v(A) v(B) v(F) End of main circuit:Module0

D锁存器版图设计实验报告

第一章:绪论 1.1 简介 1.1.1 集成电路 集成电路版图设计是电路系统设计与集成电路工艺之间的中间环节。通过集成电路版图设计,将立体的电路系统转变为二维平面图形。利用版图制作掩模板,就可以由这些图形限定工艺加工过程,最终还原为基于半导体材料的立体结构。 以最基本的MOS器件为例,工艺生产出的器件应该包含源漏扩散区、栅极以及金属线等结构层。按照电路设计的要求,在版图中用不同图层分别表示这些结构层,画好各个图层所需的图形,图形的大小等于工艺生产得到的器件尺寸。正确摆放各图层图形之间的位置关系,绘制完成的版图基本就是工艺生产出的器件俯视图。 器件参数如MOS管的沟道尺寸,由电路设计决定,等于有源区与栅极重叠部分的尺寸。其他尺寸由生产工艺条件决定,不能随意设定。 在工艺生产中,相同结构层相连即可导电,而不同结构层之间是由氧化层隔绝的,相互没有连接关系,只有制作通孔才能在不同结构层之间导电。与工艺生产相对应的版图中默认不同图层之间的绝缘关系,因此可以不必画氧化层,却必须画各层之间的通孔。另外,衬底在版图设计过程中默认存在,不必画出。而各个N阱、P阱均由工艺生产过程中杂质掺杂形成,版图中必须画出相应图形。 1.1.2 版图设计基本知识 版图设计是创建工程制图(网表)的精确的物理描述的过程,而这一物理描述遵守由制造工艺、设计流程以及仿真显示为可行的性能要求所带来的一系列约束。版图设计得好坏,其功能正确与否,必须通过验证工具才能确定。版图的验证通常包括三大部分:设计规则检查(DRC)、电学规则检查(ERC)和版图与电路图对照(LVS)。只有通过版图验证的芯片设计才进行制版和工艺流片。 设计规则的验证是版图与具体工艺的接口, 因此就显得尤为重要, Cadence 中进行版图验证的工具主要有dracula和diva。Dracula 为独立的验证工具, 不仅可以进行设计规则验证(DRC) , 而且可以完成电学规则验证(ERC)、版图与电路验证(LV S)、寄生参数提取(L PE) 等一系列验证工作, 功能强于Diva。 1.2 软件介绍 Cadence是一个大型的EDA软件,它几乎可以完成电子设计的方方面面,包括ASIC 设计、FPGA设计和PCB板设计。Cadence在仿真、电路图设计、自动布局布线、

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

电子科技大学 集成电路原理实验模拟集成电路版图设计与验证 王向展

实验报告 课程名称:集成电路原理 实验名称:模拟集成电路版图设计与验证小组成员: 实验地点:科技实验大楼606 实验时间:2017年6月19日 2017年6月19日 微电子与固体电子学院

一、实验名称:模拟集成电路版图设计与验证 二、实验学时:4 三、实验原理 1、电路设计与仿真 实验2内容,根据电路的指标和工作条件,然后通过模拟计算,决定电路中各器件的参数(包括电参数、几何参数等),EDA软件进行模拟仿真。 2、工艺设计 根据电路特点结合所给的工艺,再按电路中各器件的参数要求,确定满足这些参数的工艺参数、工艺流程和工艺条件。 3、版图设计 按电路设计和确定的工艺流程,把电路中有源器件、阻容元件及互连以一定的规则布置在Candence下的版图编辑器内。并优化版图结构。 四、实验目的 本实验是基于微电子技术应用背景和《集成电路原理》课程设置及其特点而设置,为IC设计性实验。其目的在于: 1、根据实验任务要求,综合运用课程所学知识自主完成相应的模拟集成电路版图设计,掌握基本的IC版图布局布线技巧。 2、学习并掌握国际流行的EDA仿真软件Cadence的使用方法,并进行版图的的设计与验证。 通过该实验,使学生掌握CMOS模拟IC版图设计的流程,加深对课程知识的感性认识,增强学生的设计与综合分析能力。 五、实验内容 1、UNIX操作系统常用命令的使用,Cadence EDA仿真环境的调用。

2、根据实验2所得参数,自主完成版图设计,并掌握布局布线的基本技巧。 3、整理版图生成文件,总结、撰写并提交实验报告。 六、实验仪器设备 (1)工作站或微机终端一台 (2)EDA仿真软件1套 七、实验步骤 1、根据实验指导书掌握Cadence EDA仿真环境的调用。熟悉版图编辑器Layout Editor的使用。了解基本的布局布线方法及元器件的画法。 2、根据实验2所计算验证的两级共源CMOS运放的元器件参数如表1所示,在版图设计器里画出相应的元器件,对V+、V-、V out、V DD、GND的压焊点位置合理化放置,通过金属画线将各个元器件按实验2的电路图合理连接,避免跳线。 表 1运放各器件版图参数

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

集成电路版图设计论文

集成电路版图设计 班级12级微电子姓名陈仁浩学号2012221105240013 摘要:介绍了集成电路版图设计的各个环节及设计过程中需注意的问题,然后将IC版图设计与PCB版图设计进行对比,分析两者的差异。最后介绍了集成电路版图设计师这一职业,加深对该行业的认识。 关键词: 集成电路版图设计 引言: 集成电路版图设计是实现集成电路制造所必不可少的设计环节,它不仅关系到集成电路的功能是否正确,而且也会极大程度地影响集成电路的性能、成本与功耗。近年来迅速发展的计算机、通信、嵌入式或便携式设备中集成电路的高性能低功耗运行都离不开集成电路掩模版图的精心设计。一个优秀的掩模版图设计者对于开发超性能的集成电路是极其关键的。 一、集成电路版图设计的过程 集成电路设计的流程:系统设计、逻辑设计、电路设计(包括:布局布线验证)、版图设计版图后仿真(加上寄生负载后检查设计是否能够正常工作)。集成电路版图设计是集成电路从电路拓扑到电路芯片的一个重要的设计过程,它需要设计者具有电路及电子元件的工作原理与工艺制造方面的基础知识,还需要设计者熟练运用绘图软件对电路进行合理的布局规划,设计出最大程度体现高性能、低功耗、低成本、能实际可靠工作的芯片版图。集成电路版图设计包括数字电路、模拟电路、标准单元、高频电路、双极型和射频集成电路等的版图设计。具体的过程为: 1、画版图之前,应与IC 工程师建立良好沟通在画版图之前,应该向电路设计者了解PAD 摆放的顺序及位置,了解版图的最终面积是多少。在电路当中,哪些功能块之间要放在比较近的位置。哪些器件需要良好的匹配。了解该芯片的电源线和地线一共有几组,每组之间各自是如何分布在版图上的? IC 工程师要求的工作进度与自己预估的进度有哪些出入? 2、全局设计:这个布局图应该和功能框图或电路图大体一致,然后根据模块的面积大小进行调整。布局设计的另一个重要的任务是焊盘的布局。焊盘的安排要便于内部信号的连接,要尽量节省芯片面积以减少制作成本。焊盘的布局还应该便于测试,特别是晶上测试。 3、分层设计:按照电路功能划分整个电路,对每个功能块进行再划分,每一个模块对应一个单元。从最小模块开始到完成整个电路的版图设计,设计者需要建立多个单元。这一步就是自上向下的设计。 4、版图的检查: (1)Design Rules Checker 运行DRC,DRC 有识别能力,能够进行复杂的识别工作,在生成最终送交的图形之前进行检查。程序就按照规则检查文件运行,发现错误时,会在错误的地方做出标记,并且做出解释。

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

版图设计实验报告

版图设计实验报告 课程名称:集成电路版图设计 姓名: 学号; 专业;电子科学与技术 教师;老师

目录 (一)实验目的 (3) (二)实验步骤 (4) 1,搭建环境···································································································· 2,运用ic6151··························································································· 3,作图··········································································································· 4,Run DRC·························································································· 5,画原理图··························································································· 6,Run LVS········································································································(三)实验总结·················································································································

集成电路版图设计笔试面试大全

集成电路版图设计笔试面试大全 1. calibre语句 2. 对电路是否了解。似乎这个非常关心。 3. 使用的工具。 , 熟练应用UNIX操作系统和L_edit,Calibre, Cadence, Virtuoso, Dracula 拽可乐(DIVA),等软件进行IC版图 绘制和DRC,LVS,ERC等后端验证 4. 做过哪些模块 其中主要负责的有Amplifier,Comparator,CPM,Bandgap,Accurate reference,Oscillator,Integrated Power MOS,LDO blocks 和Pad,ESD cells以及top的整体布局连接 5. 是否用过双阱工艺。 工艺流程见版图资料 在高阻衬底上同时形成较高的杂质浓度的P阱和N阱,NMOS、PMOS分别做在这两个阱中,这样可以独立调节两种沟道MOS管的参数,使CMOS电路达到最优特性,且两种器件间距离也因采用独立的阱而减小,以适合于高密度集成,但是工艺较复杂。 制作MOS管时,若采用离子注入,需要淀积Si3N4,SiO2不能阻挡离子注入,进行调沟或调节开启电压时,都可以用SiO2层进行注入。 双阱CMOS采用原始材料是在P+衬底(低电阻率)上外延一层轻掺杂的外延层P-(高电阻率)防止latch-up效应(因为低电阻率的衬底可以收集衬底电流)。 N阱、P阱之间无space。

6. 你认为如何能做好一个版图,或者做一个好版图需要注意些什么需要很仔细的回答~答:一,对于任何成功的模拟版图设计来说,都必须仔细地注意版图设计的floorplan,一般floorplan 由设计和应用工程师给出,但也应该考虑到版图工程师的布线问题,加以讨论调整。总体原则是 模拟电路应该以模拟信号对噪声的敏感度来分类。例如,低电平信号节点或高阻抗节点,它们与输入信号典型相关,因此认为它们对噪声的敏感度很高。这些敏感信号应被紧密地屏蔽保护起来,尤其是与数字输出缓冲器隔离。高摆幅的模拟电路,例如比较器和输出缓冲放大器应放置在敏感模拟电路和数字电路之间。数字电路应以速度和功能来分类。显而易见,因为数字输出缓冲器通常在高速时驱动电容负载,所以应使它离敏感模拟信号最远。其次,速度较低的逻辑电路位于敏感模拟电路和缓冲输出之间。注意到敏感模拟电路是尽可能远离数字缓冲输出,并且最不敏感的模拟电路与噪声最小的数字电路邻近。 芯片布局时具体需考虑的问题,如在进行系统整体版图布局时,要充分考虑模块之间的走线,避免时钟信号线对单元以及内部信号的干扰。模块间摆放时要配合压焊点的分布,另外对时钟布线要充分考虑时延,不同的时钟信号布线应尽量一致,以保证时钟之间的同步性问题。而信号的走线要完全对称以克服外界干扰。 二(电源线和地线的布局问题

数字集成电路课程设计74hc138

目录 1.目的与任务 (1) 2.教学内容基要求 (1) 3.设计的方法与计算分析 (1) 3.1 74H C138芯片简介 (1) 3.2 电路设计 (3) 3.3功耗与延时计算 (6) 4.电路模拟 (14) 4.1直流分析 (15) 4.2 瞬态分析 (17) 4.3功耗分析 (19) 5.版图设计 (19) 5.1 输入级的设计 (19) 5.2 内部反相器的设计 (19) 5.3输入和输出缓冲门的设计 (22) 5.4内部逻辑门的设计 (23) 5.5输出级的设计 (24) 5.6连接成总电路图 (24) 5.3版图检查 (24) 6.总图的整理 (26) 7.经验与体会 (26) 8.参考文献 (26) 附录 A 电路原理图总图 (28) 附录B总电路版图 (29)

集成 1. 目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2. 教学内容基本要求 2.1课程设计题目及要求 器件名称:3-8译码器的74HC138芯片 要求电路性能指标: ⑴可驱动10个LSTTL 电路(相当于15pF 电容负载); ⑵输出高电平时,OH I ≤20uA, min ,OH V =4.4V; ⑶输出低电平时, OL I ≤4mA , man OL V , =0.4V ⑷输出级充放电时间r t = f t , pd t <25ns ; ⑸工作电源5V ,常温工作,工作频率work f =30MHZ ,总功耗 max P =15mW 。 2.2课程设计的内容 1. 功能分析及逻辑设计; 2. 电路设计及器件参数计算; 3. 估算功耗与延时; 4. 电路模拟与仿真; 5. 版图设计; 6. 版图检查:DRC 与LVS ; 7. 后仿真(选做); 8. 版图数据提交。 2.3课程设计的要求与数据 1. 独立完成设计74HC138芯片的全过程; 2. 设计时使用的工艺及设计规则: MOSIS:mhp_ns5; 3. 根据所用的工艺,选取合理的模型库; 4. 选用以lambda(λ)为单位的设计规则; 3. 设计的方法与计算分析 3.1 74HC138芯片简介

集成电路课程设计范例

集成电路课程设计 范例 1

集成电路课程设计 1.目的与任务 本课程设计是《集成电路分析与设计基础》的实践课程,其主要目的是使学生在熟悉集成电路制造技术、半导体器件原理和集成电路分析与设计基础上,训练综合运用已掌握的知识,利用相关软件,初步熟悉和掌握集成电路芯片系统设计→电路设计及模拟→版图设计→版图验证等正向设计方法。 2.设计题目与要求 2.1设计题目及其性能指标要求 器件名称:含两个2-4译码器的74HC139芯片 要求电路性能指标: (1)可驱动10个LSTTL电路(相当于15pF电容负载); (2)输出高电平时,|I OH|≤20μA,V OH,min=4.4V; (3)输出底电平时,|I OL|≤4mA,V OL,man=0.4V; (4)输出级充放电时间t r=t f,t pd<25ns; (5)工作电源5V,常温工作,工作频率f work=30MHz,总功耗P max=150mW。 2.2设计要求 1.独立完成设计74HC139芯片的全过程; 2.设计时使用的工艺及设计规则: MOSIS:mhp_n12;

3.根据所用的工艺,选取合理的模型库; 4.选用以lambda(λ)为单位的设计规则; 5.全手工、层次化设计版图; 6.达到指导书提出的设计指标要求。 3.设计方法与计算 3.174HC139芯片简介 74HC139是包含两个2线-4线译码器的高速CMOS数字电路集成芯片,能与TTL集成电路芯片兼容,它的管脚图如图1所示,其逻辑真值表如表1所示: 图1 74HC139芯片管脚图 表1 74HC139真值表 片选输入数据输出 C s A1 A0 Y0 Y1Y2Y3 0 0 0 0 1 1 1 0 0 1 1 0 1 0 1 0 1 1 0 1

集成电路版图设计_实验三习题

实验三: 1、反相器直流工作点仿真 1)偏置电压设置:Vin=1V;Vdd=2V; 2)NMOS沟道尺寸设置:Wnmos= ;Lnmos= ; 3)PMOS沟道尺寸设置:设置PMOS的叉指数为3,每个叉指的宽度为变量wf;这样Wpmos=3*wf;设置wf=Wnmos;Lpmos= ; 4)直流工作点仿真结果:Ids= ;Vout= ;NMOS工作在工作区域;PMOS 工作在工作区域;该反相器的功耗为; 2、反相器直流工作点扫描设置 1)偏置电压设置:Vin=1V;Vdd=2V; 2)在直流仿真下设置Wnmos= ;Lnmos= ;扫描参数为PMOS的叉指宽度wf,扫描范围为到;扫描步长为;仿真输出wf为横坐标、Vout为纵坐标的波形曲线; 观察wf对Vout的影响; 3)在上述步骤的基础上,记录输出电压Vout=1V时对应的PMOS的叉指宽度wf= ; 3、扫描反相器的直流电压转移特性 1)在上述步骤的基础上,记录Ids= ;该反相器的功耗Pdc= ; 2)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Vout为纵坐标的波形曲线;观察Vin对Vout的转移特性;结合理论分析在转移特性曲线上标出A、B、C、D、E五个工作区域; 3)扫描参数为Vin,扫描电压范围为到;扫描步长为;仿真输出Vin为横坐标、Ids为纵坐标的波形曲线;观察Vin对Ids的转移特性;结合理论分析反相器的静态功耗和动态功耗; 4、仿真反相器的瞬态特性 1)为反相器设置负载电容为; 2)设置Vin为Vpluse信号源,高电平为;低电平为;Rise time= ;Fall time= ; 周期为; 3)设置瞬态仿真stop time= ;step= ;maxstep= ; 4)观察仿真结果,该反相器的传输延迟= ;

集成电路课程设计74HC138

目录 【摘要】.....................................................................................................................................................- 2 - 1. 设计目的与任务....................................................................................................................................- 3 - 2. 设计要求及内容....................................................................................................................................- 3 - 3. 设计方法及分析....................................................................................................................................- 4 - 3.1 74HC138芯片简介 ......................................................................................................................- 4 - 3.2 工艺和规则及模型文件的选择 .................................................................................................- 5 - 3.3 电路设计......................................................................................................................................- 6 - 3.3.1 输出级电路设计.............................................................................................................- 6 - 3.3.2.内部基本反相器中的各MOS 尺寸的计算................................................................- 9 - 3.3.3.四输入与非门MOS尺寸的计算...............................................................................- 10 - 3.3.4.三输入与非门MOS尺寸的计算............................................................................... - 11 - 3.3.5.输入级设计................................................................................................................. - 11 - 3.3.6.缓冲级设计.................................................................................................................- 12 - 3.3.7.输入保护电路设计...................................................................................................- 14 - 3.4. 功耗与延迟估算.......................................................................................................................- 15 - 3.4.1. 模型简化........................................................................................................................- 16 - 3.4.2. 功耗估算........................................................................................................................- 16 - 3.4.3. 延迟估算........................................................................................................................- 17 - 3.5. 电路模拟...................................................................................................................................- 19 - 3.5.1 直流分析.........................................................................................................................- 20 - 3.5.2 瞬态分析.......................................................................................................................- 22 - 3.5.3 功耗分析.......................................................................................................................- 24 - 3.6. 版图设计...................................................................................................................................- 26 - 3.6.1 输入级的设计...............................................................................................................- 26 - 3.6.2 内部反相器的设计.......................................................................................................- 27 - 3.6.3 输入和输出缓冲门的设计 ...........................................................................................- 27 - 3.6.4 三输入与非门的设计...................................................................................................- 28 - 3.6.5 四输入与非门的设计...................................................................................................- 29 - 3.6.6 输出级的设计...............................................................................................................- 30 - 3.6.7 调用含有保护电路的pad元件 ...................................................................................- 31 - 3.6.8 总版图...........................................................................................................................- 31 - 3.7. 版图检查...................................................................................................................................- 32 - 3.7.1 版图设计规则检查(DRC).......................................................................................- 32 - 3.7.2 电路网表匹配(LVS)检查........................................................................................- 33 - 3.7.3 版图数据的提交...........................................................................................................- 34 - 4. 经验与体会..........................................................................................................................................- 35 - 5. 参考文献..............................................................................................................................................- 36 - 附录A:74HC138电路总原理图 ...........................................................................................................- 37 - 附录B:74HC138 芯片版图(未加焊盘) ................................................................................................- 38 -

相关主题
文本预览
相关文档 最新文档