当前位置:文档之家› EDA汽车尾灯控制器设计

EDA汽车尾灯控制器设计

EDA汽车尾灯控制器设计
EDA汽车尾灯控制器设计

课程名称:EDA课程设计

设计题目:汽车尾灯控制器的设计院系:电气工程系

专业:电子信息工程

年级:2007级

姓名:梁晓

指导教师:许金福

学号:20077823

西南交通大学峨眉校区

2010 年10 月日

课程设计任务书

专业电子信息工程姓名梁晓学号20077823

开题日期:2010 年10 月日完成日期:2010年11月日题目汽车尾灯控制器设计

一、设计的目的

本次设计的目的就是通过实践深入理解状态机原理,了解EDA技术并掌握VHDL硬件描述语言的设计方法和思想。通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器的设计,巩固和综合运用所学知识,提高分析、解决EDA技术实际问题的独立工作能力。

二、设计的内容及要求

1、设计内容

设计一个汽车尾灯控制器,利用EDA软件(MAX+plusII)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,通过仿真查看设计的可行性,因条件有限,只能进行简单的仿真实验。

2、设计要求

(1)汽车尾部左右两侧各有多盏指示灯。

(2)汽车正常行驶时指示灯都不亮。

(3)汽车右转弯时,右侧的一盏指示灯亮。

(4)汽车左转弯时,左侧的一盏指示灯亮。

(5)汽车刹车时,左右两侧的一盏指示灯同时亮。

(6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用。

三、指导教师评语

四、成绩

指导教师(签章)

年月日

汽车尾灯控制器设计

1.引言

随着集成电路和计算机技术的飞速发展,EDA技术应运而生,它是一种高级、快速、有效的电子设计自动化技术。EDA将大量的电路功能集成到一个芯片中,并且可以由用户自行设计逻辑功能,提高了系统的集成度和可靠性。运用EDA技术可以方便、快捷设计电路系统。

本文基于EDA系统,在MAX+plusII软件平台上,完成了汽车尾灯电路的设计。采用VHDL硬件描述语言描述汽车尾灯电路,完成对电路的功能仿真。在设计过程中,重点探讨了汽车尾灯电路的设计思路和功能模块划分,通过分析仿真波形表明设计的汽车尾灯电路完成了预期的功能。

2.汽车尾灯控制器的设计过程

2.1设计内容

设计一个汽车尾灯控制器,利用EDA软件(MAX+plusII)进行编译及仿真,设计输入可采用VHDL硬件描述语言输入法和原理图输入法,通过仿真查看设计的可行性,因条件有限,只能进行简单的仿真实验。

2.2设计要求

(1)汽车尾部左右两侧各有多盏指示灯。

(2)汽车正常行驶时指示灯都不亮。

(3)汽车右转弯时,右侧的一盏指示灯亮。

(4)汽车左转弯时,左侧的一盏指示灯亮。

(5)汽车刹车时,左右两侧的一盏指示灯同时亮。

(6)汽车在夜间行驶时,左右两侧有指示灯同时一直亮,供照明使用

2.3汽车尾灯控制器的工作原理

汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯ldright亮;当汽车向左侧转弯时,汽车左侧的指示灯ldleft 亮;当汽车刹车时,汽车右侧的指示灯ldbrake1和汽车左侧的指示灯ldbrake2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯ldnight1和汽车左侧的指示灯ldnight2同时一直亮;当于大雾天行驶时右侧指示灯ldfoggy1和左侧指示灯ldfoggy2同时亮。

通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night,雾灯信号foggy和系统的输出信号:汽

车左侧4盏指示灯ldleft,dbrake1,dnight1,dfoggy1和汽车右侧4盏指示灯ldright,ldbrake2,ldnight2,ldfoggy2实现以上功能。

系统的整体组装设计原理如图所示:

系统设计整体框图

2.4方案选择与论证

根据系统设计要求,系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方案,它是由时钟分频模块、汽车尾灯主控模块、左边灯控制模块、右边灯控制模块四部分组成。

2.5系统设计详述

系统的输入信号包括:系统时钟信号CLK,汽车左转弯控制信号LEFT,汽车右转弯控制信号RIGHT,刹车信号BRAKE,夜间行驶信号NIGHT。

系统的输入信号包括:汽车左侧4 盏指示灯LLED1,LLED2,LLED3,LLED4和汽车右侧4 盏指示灯RLED1,RLED2,RLED3,RLED4。

当汽车正常行驶时所有的指示灯都不亮,当汽车向左转时,汽车左边的指示灯LLED1亮,当汽车向右转时,汽车右边的指示灯RLED1亮,当汽车刹车时,左右的LLED2、RLED2亮,当汽车夜间行驶时,汽车左右的LLED3、LLED4、RLED3 、RLED4一直亮。

2.6各组成模块原理及程序

2.6.1汽车尾灯主控模块

数据入口:

RIGHT:右转信号;

LEFT:左转信号;

BRAKE:刹车信号;

NIGHT:夜间行驶信号;

数据出口:

LP:左侧灯控制信号;

RP:右侧灯控制信号;

LR:错误控制信号;

BRAKE_LED:刹车控制信号;

NIGHT_LED:夜间行驶控制信号;

VHDL程序(CTRL.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY CTRL IS

PORT(LEFT,RIGHT,BRAKE,NIGHT: IN STD_LOGIC;

LP,RP,LR,BRAKE_LED,NIGHT_LED: OUT STD_LOGIC);

END ENTITY CTRL;

ARCHITECTURE ART OF CTRL IS

BEGIN

NIGHT_LED<=NIGHT;

BRAKE_LED<=BRAKE;

PROCESS(LEFT,RIGHT)

V ARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);

BEGIN

TEMP:=LEFT&RIGHT;

CASE TEMP IS

WHEN "00"=>LP<='0';RP<='0';LR<='0'; --当汽车直行时,左右灯都不亮

WHEN "01"=>LP<='0';RP<='1';LR<='0';; --当汽车右拐时,右拐指示灯亮

WHEN "10"=>LP<='1';RP<='0';LR<='0'; --当汽车左拐时,左指示灯亮

WHEN OTHERS=>LP<='0';RP<='0';LR<='1'; --当汽车刹车时,左右灯都亮

END CASE;

END PROCESS;

END ARCHITECTURE ART;

功能:

该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧灯控制信号;

当输入为右转信号时,输出右侧灯控制信号;当同时输入LEFT和RIGHT信号时,输出错误控制信号。当输入为刹车信号时,输出刹车控制信号;当输入为夜间行驶信号时,输出为夜间行驶控制信号。

2.6.2左边灯控制模块

数据入口:

CLK:时钟控制信号;

LP:左侧灯控制信号;

LR:错误控制信号;

BRAKE:刹车控制信号;

NIGHT:夜间行驶控制信号;

数据入口:

LEDL:左侧LD1灯控制信号;

LEDB:左侧LD2灯控制信号;

LEDN:左侧LD3灯控制信号;

VHDL程序(LC.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY LC IS

PORT(CLK,LP,LR,BRAKE,NIGHT: IN STD_LOGIC;

LEDL,LEDB,LEDN: OUT STD_LOGIC);

END ENTITY LC;

ARCHITECTURE ART OF LC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,LP,LR)

BEGIN

IF CLK'EVENT AND CLK='1' THEN --时钟上升沿有效

IF(LR='0') THEN --没有刹车信号时

IF(LP='0')THEN --没有左拐信号时

LEDL<='0'; --左信号灯不亮

ELSE --相反情况

LEDL<='1';

END IF;

ELSE

LEDL<='0';

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

功能:

本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。当错误控制信号出现时,LD1灯不亮。

2.6.3右边灯控制模块

数据入口:

CLK:时钟控制信号;

RP:右侧灯控制信号;

LR:错误控制信号;

BRAKE:刹车控制信号;

NIGHT:夜间行驶控制信号;数据出口:

LEDR:右侧RD1灯控制信号;

LEDB:右侧RD2灯控制信号;

LEDN:右侧RD3灯控制信号;

VHDL程序(RC.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY RC IS

PORT(CLK,RP,LR,BRAKE,NIGHT: IN STD_LOGIC;

LEDR,LEDB,LEDN: OUT STD_LOGIC);

END ENTITY RC;

ARCHITECTURE ART OF RC IS

BEGIN

LEDB<=BRAKE;

LEDN<=NIGHT;

PROCESS(CLK,RP,LR)

BEGIN

IF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF(LR='0') THEN

IF(RP='0') THEN

LEDR<='0';

ELSE

LEDR<='1';

END IF;

ELSE

LEDR<='0';

END IF;

END IF;

END PROCESS;

END ARCHITECTURE ART;

功能:

本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。当错误控制信号出现时,RD1灯不亮。

2.6.4时钟分频模块

VHDL程序(SZ.VHD)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY SZ IS

PORT(CLK: IN STD_LOGIC; --时钟输入

CP: OUT STD_LOGIC);

END ENTITY SZ;

ARCHITECTURE ART OF SZ IS

SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0); --定义八位标准逻辑位矢量数据类型

BEGIN

PROCESS(CLK)

BEGIN

IF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿

COUNT<=COUNT+1;

END IF;

END PROCESS;

CP<=COUNT(3); --输出第五位

END ARCHITECTURE ART;

功能:

这块的功能是对左右两边的LLED1、RLED1的闪烁时间间隔,以CLK为输入信号, CP 为输出信号,在程序中定义一个八位节点信号COUNT来放计数值,当CLK的上升沿到来时就开始计数,最后将COUNT(3)给CP,实现对CLK的八分频。

再将CP的电平信号分别和LEDL、LEDR电平与,最后用输出的电平来控制汽车左右的LLED1、RLED1,实现左右转的指示功能。

2.6.5原理图

Library ieee;

Use ieee.std_logic_1164.all;

Use ieee.std_logic_unsigned.all;

Entity tp is

Port(clk:in std_logic;

Left:in std_logic;

Right:in std_logic;

Brake:in std_logic;

Night:in std_logic;

Ld1,ld2,ld3:out std_logic;

Rd1,rd2,rd3:out std_logic);

End;

Architecture bh of tp is

Component sz is

Port(clk:in std_logic;

Cp:out std_logic);

End component;

Component ctrl is

Port(left,right,brake,night:in std_logic;

Lp,rp,lr,brake_led,night_led:out std_logic);

End component;

Component lc is

Port(clk,lp,lr,brake,night:in std_logic;

Ledl,ledb,ledn:out std_logic);

End component;

Component rc is

Port(clk,rp,lr,brake,night:in std_logic;

Ledr,ledb,ledn:out std_logic);

End component;

Signal tmp0,tmp1,tmp2,tmp3,tmp4:std_logic;

Signal err0,err1,err2,err3,err4,err5:std_logic;

signal bm:std_logic;

Begin

U1:sz port map(clk,bm);

U2:ctrl port map(left,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4); U3:lc port map(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);

U4:rc port map(clk,tmp1,tmp2,tmp3,tmp4,err3,err4,err5);

Ld1<=err0 and bm;

Ld2<=err1;

Ld3<=err2;

Rd1<=err3 and bm;

Rd2<=err4;

Rd3<=err5;

End;

2.6.6整体仿真波形图

分析整体仿真图:

输入刹车信号一直为高电平,输出LD2灯和RD2灯也为长亮;左转信号为高电平时,LD1灯闪烁,右转信号为高电平时,RD1灯闪烁;当左转信号和右转信号同时为高电平时,LD1灯和RD1灯都不亮;夜间行驶信号为高电平时,LD3灯和RD3灯同时亮。波形仿真结果满足预期的功能。

3.心得体会

通过本次课程设计,我们对EDA技术有了更深的了解,初步学会了采用自顶向下的系统设计方法设计系统,并熟练掌握了利用VHDL语言进行简单的电路模块设计。此外,我们还进一步熟悉了MAX+PLUSII这款软件的使用,深刻体会到了用软件实现硬件设计的便捷与优越。

本次课程设计不仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,分析问题和解决问题的能力。它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

本设计采用自顶向下设计方法,底层为一些具有独立功能的小模块,由小组人员分工完成,即一人完成一个到多个模块,最后再合到一起完成顶层文件的设计。从局部到整体,不仅使得系统设计的思路清晰明了,减少了错误的产生,更方便了程序的调试以及系统功能的扩充。在设计过程中,能与同学相互交流讨论,分工合作,不仅降低了设计难度,缩短了设计周期,更是进一步培养了我们的团队合作精神。

在此次设计过程中,不仅要求我们掌握扎实的理论知识,分析问题能从根本原理出发,联系实际解决问题,还要求我们要有耐心,毅力及细心。稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查更要求我们要有足够的耐心,反复调试,直到程序顺利通过。

这次设计中我也遇到了一些问题,但通过相关资料的查询,在老师的指导和同学们的帮助下,都顺利得以解决。这些经历使我得以积累了一定的经验,相信对以后学习设计工作也会有一定的帮助!

4.参考文献

【1】邹彦、庄严等编.EDA技术与数字系统设计.北京:电子工业出版社,2008

【2】张庆双主编.实用电子电路200例.北京:机械工业出版社, 2005

【3】赵世强、许杰等编.电子电路EDA技术.西安:西安电子科技大学出版社,2000

【4】清华大学电子学教研组编.余孟尝主编.数字电子技术.第三版.北京:高等教育出版社,2006

【5】黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006

【6】江国强.EDA技术与应用.北京:电子工业出版社,2004

汽车尾灯课程设计

综述 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节. 当今社会生活节奏快,交通拥挤,导致交通事故频繁发生,其中汽车追尾事件在交通事故中所占比重较大,追尾时间的产生主要是由于司机的疏忽以及无法把握前方车辆的运行的状况而导致的;而汽车尾灯控制电路的产生,恰好有利于缓解这一状况,通过对尾灯的控制,体现汽车在公路的上的行驶状态,即汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁。通过这一特点来提示后方车辆本车的行驶情况,有利于减少汽车追尾事件的发生,是一个值得普及的设计,而与此同时在此设计的基础上还可实现电路的拓展,例如加上被劫持报警装置等实用设备。 汽车尾灯控制电路如果在汽车领域广泛应用将有利于减少交通事故的发生。 1 总体逻辑结构 1.1汽车尾灯运行状态关系 根据课程设计任务书要求,分析汽车运行状态与尾灯关系可得如下关系表(表1-1)。其中J1,J2代表控制开关。 表1-1 汽车尾灯与汽车运行关系表 J2 J1 运行状态左尾灯右尾灯

0 0 1 1 0 1 1 正常行驶 右转弯 左转弯 紧急刹车 灭 灭 左尾灯循环闪烁 所有灯同时闪烁 灭 右尾灯循环闪烁 灭 所有灯同时闪烁 1.2汽车尾灯电路的逻辑电路关系 按照以上汽车的运行状态与尾灯关系分析总结,写出汽车尾灯正常行驶,左转弯,右转弯,紧急刹车时的二进制代码,以实现汽车正常行驶时指示灯全灭;右转弯时,右侧3个指示灯右循环点亮;左转弯时左侧三个指示灯按左循环循序点亮;临时刹车时所有指示灯同时闪烁的任务要求。其关系如下表(表1-2)。 表1-2汽车尾灯电路的逻辑关系表 开关控制二进制代码左尾灯右尾灯 J2 0 0 0 0 1 1 1 1 J1 1 1 1 1 Q1 X 1 1 X Q0 X 1 1 X D4 1 C L K D5 1 C L K D6 1 C L K D1 1 C L K D2 1 C L K D3 1 C L K

课程设计——汽车尾灯控制器的设计1

& 成绩:分 ××××系 课程设计报告书 课程设计名称电子产品综合设计 《 汽车尾灯控制器的设计 题目 学生姓名 专业 班级 : 指导教师 日期:2010年7月5日 {

摘要:本设计根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块、左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 关键字:时钟信号,EDA工具,状态机 Abstract: This design is according to the computer state machine theory, using VHDL taillight design the various parts of the controller and use the EDA tools for simulation of each taillight controller design is divided into four modules: the clock frequency module, the taillight major control module, left lamp control module and right lamp control module after the formation of a car taillight integrated the input system clock signal and the signal related to vehicle control, vehicle tail lights will correctly display the current state of vehicle control. Key words: The clock signal, EDA tools, the computer state machine theory · -

基于单片机-AT89C51-的汽车尾灯控制电路课程设计

物理与电子信息系 课程设计报告 课程名称:单片机课程设计 题目:汽车尾灯的设计 学生姓名:李海标学号:11409321 学生姓名:唐凯学号:11409310 系部:物理与电子信息系 专业年级:电子信息工程专业2011级指导教师:余胜 职称:副教授 湖南人文科技学院物理与电子信息系制

目录 摘要.................................................................................................................................. - 1 - 1、设计课题任务、功能要求说明及总体方案介绍................................................................ - 2 - 1.1设计课题任务............................................................................................................... - 2 - 1.2功能要求说明............................................................................................................... - 2 - 1.3设计课题总体方案介绍及工作原理说明................................................................... - 2 - 1.3.1汽车尾灯的设计思路与频率计算................................................................... - 2 - 1.3.2AT89C51芯片介绍....................................................................................... - 3 - 2、设计课题硬件系统的设计.................................................................................................... - 6 - 2.1设计课题硬件系统各模块功能简要介绍................................................................... - 6 - 2.1.1复位电路........................................................................................................... - 6 - 2.1.2时钟振荡电路................................................................................................... - 7 - 2.1.3独立键盘电路................................................................................................... - 7 - 2.1.4 LED显示电路................................................................................................. - 8 - 2.2设计课题电路原理图、PCB 图、元器件清单.......................................................... - 9 - 2.2.1 原理图............................................................................................................ - 9 - 2.2.2 PCB图........................................................................................................... - 9 - 2.2.3 仿真图............................................................................................................ - 9 - 2.2.4 元器件清单.................................................................................................... - 9 - 3、设计课题软件系统的设计.................................................................................................... - 9 - 3.1设计课题使用单片机资源的情况............................................................................... - 9 - 3.1.1 键盘设定........................................................................................................ - 9 - 3.1.2 发光二级管显示设定.................................................................................. - 10 - 3.2设计课题软件系统程序流程框图............................................................................. - 10 - 3.2.1 主程序流程图................................................................................................ - 10 - 3.2.2键扫程序流程图............................................................................................. - 10 - 3.2.3延时程序流程图............................................................................................. - 11 - 3.2.4 显示程序流程图............................................................................................ - 12 - 3.3设计课题软件系统程序清单..................................................................................... - 13 - 4、仿真结果与误差分析 ......................................................................................................... - 14 - 4.1汽车尾灯控制电路的使用说明................................................................................. - 14 - 4.2汽车尾灯控制仿真结果............................................................................................. - 14 - 4.3硬件调试 .................................................................................................................... - 15 - 4.4设计体会 .................................................................................................................... - 15 - 致谢 ....................................................................................................................................... - 16 - 参考文献 ................................................................................................................................... - 17 - 附录 ....................................................................................................................................... - 18 - 一、原理图........................................................................................................................ - 19 - 二、PCB图 ........................................................................................................................ - 19 - 三、仿真电路图................................................................................................................ - 20 - 四、设计课题元器件清单................................................................................................ - 20 - 五、程序清单.................................................................................................................... - 22 -

课程设计:汽车尾灯控制电路word文档

西南科技大学电子技术课程设计 课程名称:电子技术课程设计 程序题目:汽车尾灯控制电路 姓名:何忠建左朝振 学号: 20045081 20045100 班级:自动 0405 班 指导教师:曹文 时间:2007.1.14 评分:

汽车尾灯控制电路 一.设计任务 设计一个汽车尾灯控制电路,汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按 右循环顺序点亮(R 1→R 1 R 2 →R 1 R 2 R 3 →全灭→R 1 )时间间隔0.5S(采用一个2HZ的 方波源);在左转弯时,左侧3个指示灯按左循环顺序点亮(L 1→L 1 L 2 →L 1 L 2 L 3 →全 灭→L 1);在临时刹车或者检测尾灯是否正常时,所有指示灯同时点亮(R 1 R 2 R 3 L 1 L 2 L 3 点亮);当汽车后退的时候所有尾灯循环点亮;当晚上行车的时候汽车尾灯的最下一个灯一直点亮。 二、设计条件 本设计基于学校电子技术实验后设计的,通过在电脑上利用各种软件设计而成,包括Quartus II 5.0,Multisim2001以及DXP2004等设计仿真软件。 三、设计要求 分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时,所有灯点亮的次序和是否点亮是不同的,所以用74138译码器对输入的信号进行译码,从而得到一个低电平输出,再由这个低电平控制一个计数器74161,计数器输出为高电平时就点亮不同的尾灯(这里用发光二极管模拟),从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯与给定条件间的关系,即逻辑功能表1所示。汽车尾灯控制电路设计总体框图如图1所示。 汽车尾灯和汽车运行状态表1-1

汽车尾灯控制器设计

— 《可编程器件》课程设计报告 课题:汽车尾灯控制器设计: 班级学号 学生姓名 专业 系别 指导老师 ~ 淮阴工学院 电子与电气工程学院 2014年11月 ,

一、设计目的 《可编程器件》课程设计是时一项重要的实践性教育环节,是学生在校期间必须接受的一项工程训练。在课程设计过程中,在教师指导下,运用工程的方法,通过一个简单课题的设计练习,可使学生通过综合的系统设计,熟悉应用系统的设计过程、设计要求、完成的工作内容和具体的设计方法,了解必须提交的各项工程文件,也达到巩固、充实和综合运用所学知识解决实际问题的目的。 通过课程设计,应能加强学生如下能力的培养: (1)) (2)独立工作能力和创造力; (3)综合运用专业知识及基础知识,解决实际工程技术问题的能力; (4)查阅图书资料、产品手册和各种工具书的能力; (5)工程绘图的能力; (6)编写技术报告和编制技术资料的能力。 二、设计要求 假设汽车尾部左右两侧各有3盏知识灯,其控制功能包括: (1)汽车正常行驶是指示灯都不亮。 (2)… (3)汽车右转弯时,右侧的一盏指示灯RD1交替闪烁,周期为2秒,其余灯熄灭。(4)汽车左转弯时,左侧的一盏指示灯LD1交替闪烁,周期为2秒,其余灯熄灭。(5)汽车刹车时,左右两侧的一盏指示灯LD2,RD2同时亮。 (6)汽车夜间行驶时,左右两侧的一盏指示灯LD3,RD3同时一直亮,供照明使用。 三、设计的具体实现 1、汽车尾灯控制器的工作原理 汽车尾灯控制器就是一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯RD1亮;当汽车向左侧转弯时,汽车左侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯RD2和汽车左侧的指示灯LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD3和汽车左侧的指示灯LD3同时一直亮。 通过设置系统的输入信号:系统时钟信号clk,汽车左转弯控制信号left,汽车右转弯控制信号right,刹车信号brake,夜间行驶信号night系统的输出信号:汽车左侧3盏指示灯LD1,LD2,LD3和汽车右侧3盏指示灯RD1,RD2,RD3实现以上功能。 " 系统的整体组装设计原理如图所示:

汽车尾灯课程设计

目录 一、设计课题任务和要求 (2) 二、总体方案选择的论证 (2) 三、单元电路的设计 (4) 四、总体电路图、功能单元电路图 (6) 五、组装与调试 (9) 六、所设计电路的特点以及改进意见 (11) 七、所用元器件的编号列表 (11) 八、参考文献 (11) 九、收获、体会和建议 (12) 十、附录 (12) 一、设计课题任务和要求 本课题设计一个汽车LED尾灯的控制器电路。该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。 当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。 当接通刹车电键时,汽车所有的尾灯点亮。 当接通检查电键时,汽车所有的尾灯同时闪烁(0.5—1S/次)。 二、总体方案选择的论证 为了区分汽车尾灯的4种不同的显示模式,我们设置4个状态控制变量。假定用开关K1、K2、K3、K4进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表1所示。

1 0 1 1 右转弯熄灭 按D4、D5、D6顺序 循环点亮 1 1 0 1 刹车同时点亮同时点亮 1 1 1 0 检查同时闪烁同时闪烁 在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量K1、K0,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示(表中指示灯的 开关计数器状态汽车尾灯状态 K1 K2 K3 K4 Q1 Q0 D1 D2 D3 D4 D5 D6 0 1 1 1 0 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 1 0 1 0 0 0 0 0 1 0 1 1 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 0 1 0 0 0 0 0 0 1 1 1 0 1 - - 1 1 1 1 1 1 1 1 1 0 - - CP CP CP CP CP CP 表 2 汽车尾灯控制器功能表 根据以上设计分析与功能描述,可以得出汽车尾灯控制器的结构框图,如图1所示。

电子设计毕业设计-汽车尾灯控制电路设计论文资料-正文

1 引言 在日新月异的21世纪里,电子产品得到了迅速发展。许多电器设备都趋于人性化、智能化,这些电器设备大部分都含有CPU 控制器或者是单片机。单片机以其高可靠性、高性价比、低电压、低功耗等一系列优点,近几年得到迅猛发展和大范围推广,广泛应用于工业控制系统、通讯设备、日常消费类产品和玩具等。并且已经深入到工业生产的各个环节以及人民生活的各个方面,如车间流水线控制、自动化系统等、智能型家用电器(冰箱、空调、彩电)等。用单片机来控制的小型电器产品具有便携实用,操作简单的特点。 本文设计的汽车尾灯控制电路属于小型智能电子产品。利用单片机进行控制,实时时钟芯片进行记时,外加掉电存储电路和显示电路。此设计具有相当重要的现实意义和实用价值。 2 系统概述 本设计以AT89S52单片机为核心,构成单片机控制电路,完成对它们的自动调整和掉电保护。人机接口由四个按键来实现,用这四个按键对汽车左转,右转,停车和检测进行控制。。软件控制程序实现所有的功能。整机电路使用+5V 稳压电源,可稳定工作。系统框图如图2-1所示,其软硬件设计简单,可广泛应用于长时间工作的系统中。 图2-1 系统框图 3 方案选择 由于汽车尾灯控制电路的种类比较多,因此方案选择在设计中是至关重要的。正确地选择方案可以减小开发难度,缩短开发周期,降低成本,更快地将产品推向市场。 ** 方案1——基于AT89S52单片机的汽车尾灯控制电路设计 直接用AT89S52单片机来实现汽车尾灯控制电路设计。AT89S52是一种带8K 字节闪烁可编程可擦除只读存储器的低电压,高性能CMOS 8位微处理器,俗称单片机。单片机的可擦除只读存储器可以反复擦写1000余次。由于将多功能8位CPU 和闪烁存储器组合在单个芯片中,A TMEL 的A T89S52是一种高效微控制器,为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。 用单片机来实现汽车尾灯控制电路设计,无须外接其他芯片,充分利用了单片机的资源。 ** 方案2——基于电子元件的汽车尾灯控制电路设计 人机接口 显示电路 软件控制程序 电源电路 单片机控制电路

汽车尾灯课程设计++VHDL++EDAgrx

《2011至尊恋爱秘籍》男人幸福必备! 目录 1.引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (1) 1.3 EDA的介绍 (1) 1.3.1 EDA技术的概念 (1) 1.3.2 EDA技术的特点 (2) 1.3.3 EDA设计流程 (2) 1.4硬件描述语言(VHDL) (2) 1.4.1 VHDL的介绍 (2) 1.4.2 VHDL语言的特点 (3) 2.总体设计 (4) 2.1需求分析 (4) 2.2汽车尾灯控制器的工作原理 (4) 2.3 汽车运行状态表和总体框图 (5) 3.详细设计 (6) 3.1各组成模块 (6) 3.2时钟分频模块 (6) 3.3 汽车尾灯主控模块 (6) 3.4左边灯控制模块 (7) 3.5右边灯控制模块 (9) 4.系统仿真与调试 (10) 4.1分频模块仿真及分析 (10) 4.2汽车尾灯主控模块仿真及分析 (10) 4.3左边灯控制模块仿真及分析 (11) 4.4右边灯控制模块仿真及分析 (11) 4.5整个系统仿真及分析 (12) 4.6 总体设计电路图 (12) 总结 (13) 参考文献 (14)

1.引言 随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解决交通安全问题一种好的途径。在本课程设计根据状态机原理[1]实现了汽车尾灯常用控制。 1.1设计的目的 本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术[2]并掌握VHDL硬件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。通过对实用汽车尾灯控制器[3]的设计,巩固和综合运用所学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。 1.2设计的基本内容 根据计算机中状态机原理,利用VHDL设计汽车尾灯控制器的各个模块,并使用EDA 工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽车尾灯将正确显示当前汽车的控制状态。 1.3 EDA的介绍 1.3.1 EDA技术的概念 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。

电子技术课程设计汽车尾灯

课程设计报告设计题目:汽车尾灯控制电路的设计与实现 班级:计算机 学号: 姓名: 指导教师: 设计时间: 摘要 进行本次课程设计主要有两个目的,一是对数字逻辑这门课程的理论知识进行一次系统的梳理;二是锻炼自己将理论应用于实践的能力。针对以上目的,就要求做到,通过分析实际的需求提炼出相应的理论模型,进而再进行电路的设计,在之后的实际电路实现的过程中,还可以根据实际的需要对电路做出一些改进。 本课题设计一个汽车尾灯的控制电路。汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)。 使用555定时器发出秒脉冲,74LS161计数器和74LS138以及其他逻辑门实现控制个驱动功能,实现基本要求和扩展,即汽车正常行驶时指示灯不亮;右转弯时右侧3个指示灯按右循环顺序点亮,左侧指示灯全灭;左转弯时左侧3个指示灯按左循环顺序点亮,右侧指示灯全灭;汽车临时刹车和倒车时指示灯闪烁;右转弯刹车时右侧灯顺序循环点亮,左侧灯全亮;左转弯刹车时左侧灯顺序循环点亮,右侧灯全亮以及用数码管显示各个状态等。 关键词:计数器,译码器,555定时器,逻辑门等 目录 摘要 (2) 第1章概述 (4) 第2章课程设计任务及要求 (5) 2.1 设计任务 (5)

2.2 设计要求 (5) 第3章系统设计 (7) 3.1 方案论证 (7) 3.2 系统设计 (7) 3.2.1 结构框图及说明 (7) 3.2.2 系统原理图及工作原理 (8) 3.3 单元电路设计 (9) 3.3.1 单元电路工作原理 (9) 3.3.2 元件参数选择 (12) 第4章软件仿真 (13) 4.1 仿真电路图 (13) 4.2 仿真过程 (13) 4.3 仿真结果 (14) 第5章安装调试 (20) 5.1 安装调试过程 (20) 5.2 故障分析 (20) 第6章结论 (21) 第7章使用仪器设备清单 (21) 参考文献 (21) 收获、体会和建议 (22) 第1章概述 随着现代科技和社会经济的发展,汽车已经逐步被广泛应用于人们的生产和生活。而对于汽车行驶安全的要求就显得尤为重要,通过科技的力量来改进汽车的性能已经成为主要的方向。立足于《电子技术》这门课程的知识体系,力求通过本学科的一些知识对汽车的尾灯显示电路进行模拟和做出一些分析改进。希望通过这次设计实践,达到两个目的,锻炼自己的动手实践能力,以及用已学的知识对汽车尾灯控制电路进行详尽的分析与模拟。 对于汽车尾灯控制电路这项课设,主要有三方面的要求:一是脉冲频率的要求;二是汽车尾灯显示与汽车行驶状态一一对应;三是汽车尾灯的显示要依次循环变亮。针对

汽车尾灯控制器的设计与实现

基于DHVL的汽车尾灯控制器的设计与实现 学生:唐早德指导老师:魏立伟 摘要本课程设计根据计算机中状态机原理,采用EDA技术设计了简易的汽车尾灯控制器。系统设计采用自顶向下的设计方法,顶层设计采用原理图设计方式,它由时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块四部分组成。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编译、时序仿真等。 关键字EDA;汽车尾灯控制器;时钟分频

Automobile tail light the controller design Student:tang zaode Advisor:Wei Liwei Abstract of state machines in the simple design of EDA technology outsourcing controller. System design using the top-down design method, the top design the principle diagram design way, it by the clock frequency division module, main control module, automobile tail light on the left and the right lamp light control module control module four parts. The system hardware description language the circuit based on the modular system VHDL way design, then compile, timing simulation, etc. Key words EDA; Automobile tail light controller; Clock frequency division

汽车尾灯控制器设计——完整版

1、Proteus简介 1.1 概述 Proteus软件是英国Labcenter electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。Proteus是世界上著名的EDA工具(仿真软件),从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到PCB设计,真正实现了从概念到产品的完整设计。是目前世界上唯一将电路仿真软件、P CB设计软件和虚拟模型仿真软件三合一的设计平台,其处理器模型支持8051、HC 11、PIC10/12/16/18/24/30/DsPIC33、AVR、ARM、8086和MSP430等,2010年即将增加Cortex和DSP系列处理器,并持续增加其他系列处理器模型。在编译方面,它也支持IAR、Keil和MPLAB等多种编译器。 1.2 具有四大功能模块: 1.2.1 智能原理图设计(ISIS) 丰富的器件库:超过27000种元器件,可方便地创建新元件; 智能的器件搜索:通过模糊搜索可以快速定位所需要的器件; 智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间; 支持总线结构:使用总线器件和总线布线使电路设计简明清晰; 可输出高质量图纸:通过个性化设置,可以生成印刷质量的BMP图纸,可以方便地供WORD、POWERPOINT等多种文档使用。

1.2.2 完善的电路仿真功能(Prospice) Prospice混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真; 超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件; 多样的激励源:包括直流、正弦、脉冲、分段线性脉冲、音频(使用wav 文件)、指数信号、单频FM、数字时钟和码流,还支持文件形式的信号输入; 丰富的虚拟仪器:13种虚拟仪器,面板操作逼真,如示波器、逻辑分析仪、信号发生器、直流电压/电流表、交流电压/电流表、数字图案发生器、频率计/计数器、逻辑探头、虚拟终端、SPI调试器、I2C调试器等; 生动的仿真显示:用色点显示引脚的数字电平,导线以不同颜色表示其对地电压大小,结合动态器件(如电机、显示器件、按钮)的使用可以使仿真更加直观、生动; 高级图形仿真功能(ASF):基于图标的分析可以精确分析电路的多项指标,包括工作点、瞬态特性、频率特性、传输特性、噪声、失真、傅立叶频谱分析等,还可以进行一致性分析; 1.2.3 独特的单片机协同仿真功能(VSM) 支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC1 8、PIC24、dsPIC33、HC11、BasicStamp、8086、MSP430等,CPU类型随着版本升级还在继续增加,如即将支持CORTEX、DSP处理器; 支持通用外设模型:如字符LCD模块、图形LCD模块、LED点阵、LED七段显示模块、键盘/按键、直流/步进/伺服电机、RS232虚拟终端、电子温度计等等,其COMPIM(COM口物理接口模型)还可以使仿真电路通过PC机串口和外部电路实现双向异步串行通信; 实时仿真:支持UART/USART/EUSARTs仿真、中断仿真、SPI/I2C仿真、MSSP仿真、PSP仿真、RTC仿真、ADC仿真、CCP/ECCP仿真; 编译及调试:支持单片机汇编语言的编辑/编译/源码级仿真,内带8051、A

汽车尾灯显示控制电路设计

武汉理工大学《数字电子技术》课程设计报告 学号: 课程设计 题目汽车尾灯显示控制电路设计 学院信息工程学院 专业通信工程 班级通信0805 姓名 指导教师刘建新 2010年 7 月 1日

精品文档 目录 1 摘要 (1) 2设计要求与思路 (2) 2.1设计目的与要求 (2) 2.2设计思路构想 (2) 2.2.1汽车尾灯显示状态与汽车运行状态的关系 (2) 2.2.2汽车尾灯显示控制功能描述 (2) 3 单元电路设计 (4) 3.1 秒脉冲电路的设计 (4) 3.2 开关控制电路的设计 (5) 3.3 三进制计数器电路的设计 (7) 3.4 译码与显示驱动电路的设计 (8) 3.5 尾灯状态显示电路的设计 (10) 4电路仿真与分析 (11) 4.1电路仿真总电路图 (11) 4.2汽车尾灯显示控制电路的工作原理 (11) 4.3各部分仿真结果 (12) 4.4仿真中遇到的问题 (15) 5 元器件清单 (16) 6 设计体会 (16) 7附录 (17) 参考文献 (19)

武汉理工大学《数字电子技术》课程设计报告 1摘要 课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试的方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。 本文介绍了一种通过TTL系列产品设计模拟汽车尾灯工作情况电路的方法。主要阐述了如何通过555系列来制作脉冲产生器,如何利用J-K触发器改制三进制的计数器和译码器的使用等一系列方法。实验通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,临时刹车。 关键字:汽车尾灯,脉冲,计数器,译码器,行驶情况 Abstract Curriculum design as a simulation of digital electronic technology and electronic technology an important component of the course, on the one hand, the purpose of enabling us to further understanding of course content, the basic digital system design and debugging methods, applications of integrated circuits to increase knowledge, foster the ability of our hands as well as analysis, problem-solving abilities. This article describes a series of product design through simulation TTL auto taillight circuit methods work. Mainly on how to produce 555 series pulse generator, how to make use of JK flip-flop ternary system decoder of the counters and the use of a range of methods. Experimental simulation of vehicle through the light-emitting diode taillights to achieve a moving car when the four cases: the normal traffic, left turn, right turn, temporary brake. Keywords: auto lamps, pulse, counters, decoders, traffic situation.

汽车尾灯控制器设计

齐鲁工业大学课程设计专用纸成绩 课程名称数字逻辑指导教师 院(系)信息学院专业班级 学生姓名仅作参考学号不谢设计日期 2014.7.2 课程设计题目汽车尾灯控制器设计 一、课程设计目的与任务 课程设计的目的:通过课程设计让学生进一步熟悉常用电子器件的类型和特性,并掌握合理选用的原则;提高学生的综合运用所学的理论知识,独立分析和解决问题的能力;让学生初步掌握对电子线路安装与调试等。 设计任务:设计一个汽车尾灯显示控制,实现对汽车尾灯状态的控制。 二、课程设计内容 1本设计题目的主要内容 本设计主要是用中、小规模集成电路设计一个汽车尾灯显示控制。在汽车尾部左右两侧各有3个指示灯(假定用发光二极管模拟),根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。②汽车向右转弯行驶时,右侧的三个指示灯按循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。 使用Multisim 2000进行仿真设计。 汽车尾灯显示控制的构成: (1)模式控制电路 (2)三进制计数器 (3)译码与显示驱动电路 (4)尾灯状态显示电路 2基本要求 (1)要求电路简单可靠,仿真结果基本正确。 (2)满足基本的设计要求,基本功能能够实现。 (3)提交课程设计报告。

3设计思想与总体构架 为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。 假定用开关K1和K0进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表所示。 开关控制汽车运行状 态6个发光二极管 K1K0D1 D2 D3D4 D5 D6 11正常运行灯灭灯灭 10右转弯 按D1、D2、D3顺 序循环点亮 灯灭 01左转弯灯灭 按D4、D5、D6顺序 循环点亮 00临时刹车所有尾灯同时按cp闪烁 该电路主要有三方面的要求,一时脉冲,二是汽车的行驶状态要与汽车尾灯的显示要对应,三是汽车尾灯的循环变亮。脉冲使用555定时器构成的多谐振荡器,通过译码电路和开关控制电路实现汽车尾灯与汽车行驶状态的对应,使用3进制计数器实现汽车尾灯的循环。 总体框架:

汽车尾灯控制电路设计说明书

中北大学 课程设计说明书 学生姓名:学号: 学院:信息与通信工程学院 专业:光电信息科学与工程 题目:汽车尾灯控制电路设计 指导教师:职称: 指导教师:职称: 201X年 X月X日

中北大学 课程设计任务书201X/201X 学年第一学期 学院:信息与通信工程学院专业:光电信息科学与工程学生姓名:学号: 课程设计题目:汽车尾灯控制电路设计起迄日期:X月X日~X月X日课程设计地点:中北大学 指导教师: 学科管理部主任: 下达任务书日期: 201X年X月X日

1.设计目的: 本课程设计主要针对模拟电子技术和数字电子技术课程要求,培养学生在查阅资料的基础上,进行实用电路设计、计算、仿真、调试等多个环节的综合能力,同时培养学生用课程中所学的理论独立地解决实际问题的能力。另外还培养学生用专业的、简洁的文字,清晰的图表来表达自己设计思想的能力。 2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等): (假设汽车尾部左右各有3只指示灯,汽车正常运行时全部熄灭;右转时右侧3只灯依次按右循环点亮;左转时左侧3只灯依次按左循环点亮;刹车时所有灯同时闪烁。)(1)掌握车灯右循环电路的设计、仿真与调试; (2)掌握车灯左循环电路的设计、仿真与调试; (3)掌握延时电路的设计、仿真与调试,车灯循环点亮和闪烁时,点亮和熄灭时间都为2秒,精度大于10%; (4)掌握状态切换电路的设计、仿真与调试; (5)掌握方案设计与论证; (6)掌握用相关软件进行电路图设计、仿真,以及对仿真结果的分析、总结。 3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、实物样品等〕: (1)提供核心器件的工作原理与应用介绍; (2)提供用Protel99/DXP设计的电路原理图,印刷板电路图选做; (3)提供用Multisim、MaxPlus、Proteus等其他软件对电路的仿真结果与分析; (4)提供符合规定要求的课程设计说明书,图、表清晰; (5)提供参考文献不少于三篇,且必须是相关的参考文献。

相关主题
文本预览
相关文档 最新文档