当前位置:文档之家› 基于FPGA的VGA显示设计及仿真实现

基于FPGA的VGA显示设计及仿真实现

基于FPGA的VGA显示设计及仿真实现
基于FPGA的VGA显示设计及仿真实现

本科毕业论文(设计)

论文题目:基于FPGA的VGA显示设计及仿真实现姓名:XXX

学号:XXX

班级:XXX

年级:XXX

专业:通信工程

学院:信息工程学院

指导教师:XXX

完成时间:XXXX 年X月XX 日

作者声明

本毕业论文(设计)是在导师的指导下由本人独立撰写完成的,没有剽窃、抄袭、造假等违反道德、学术规范和其他侵权行为。对本论文(设计)的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。因本毕业论文(设计)引起的法律结果完全由本人承担。

毕业论文(设计)成果归XXX所有。

特此声明。

作者专业:

作者学号:

作者签名:

年月日

基于FPGA的VGA显示设计及仿真实现

XXX

The Design and Implementation of the VGA display based on FPGA

XXXX 年X月XX 日

摘要

本文简述了VGA显示的特点和工作原理,重点介绍了采用自顶向下层次化、模块化的设计方法,在FPGA上实现VGA的显示设计。

显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,VGA具有分辨率高、显示速率快、颜色丰富等优点。显示器因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。

在FPGA的设计中可以使用很少的资源,就产生VGA各种信号,再加上VHDL语言灵活的描述方法以及与硬件无关的特点,使得使用VHDL语言基于FPGA芯片实现VGA 显示控制成为研究的方向。

本文对基于VHDL的VGA的显示控制进行了研究,并设计了VGA显示器彩条信号发生器。所做的主要工作为:

(1)在设计中采用了自顶向下的层次化、模块化的设计思想,将整个接口划分为多个模块,利用VHDL语言的描述方法进行了各个功能模块的设计,最终完成了VGA显示的系统设计。

(2)运用ISE软件进行仿真。

关键词:VHDL;VGA;ISE;彩条信号

Abstract

This paper briefly describes the characteristics and working principle of VGA display, focus on using the top-down hierarchical and modular design method, realization of VGA display design on FPGA.

Display graphics array (video graphic array, VGA) interface is a standard interface LCD liquid crystal display device, VGA with high resolution, fast display rate, color rich, etc. Display output because of its large amount of information, the output forms characteristics has become the most commonly used output device design now.

In the design of FPGA can use less resources, and produce VGA signals, flexible coupled with VHDL language description method and has nothing to do with the hardware characteristics, make use of VHDL language based on the FPGA chip to realize VGA display control become the direction of research.

In this paper, based on VHDL VGA display control is studied, and VGA display color bar signal generator is designed. The main work done as follows:

(1)In the design USES the top-down hierarchical, modular design thought, will the whole interface is divided into several modules, use of VHDL language description method for the design of each functional module, finally completed the VGA display system design.

(2) Using ISE software simulation.

Keywords: VHDL ;VGA; ISE; Color bar signal

目录

1 概述............................................................................................................ - 1 -

1.1 本选题研究的目的及意义......................................................................................... - 1 -

1.2 本选题国内外研究状况综述 (2)

1.3 本选题研究的主要内容............................................................................................. - 1 -

2 VGA显示的理论研究 (4)

2.1 VGA显示特点 (4)

2.2 VGA显示原理 (4)

3 ISE工具概述 ................................................................................................ - 7 -

3.1 硬件描述语言............................................................................................................. - 7 -

3.2 ISE使用方法............................................................................................................. - 13 -

4 VGA显示的设计与仿真............................................................................ - 17 -

4.1 模块结构设计........................................................................................................... - 17 -

4.2 VHDL代码设计............................................................................. 错误!未定义书签。

4.3 仿真验证................................................................................................................... - 18 -结语................................................................................................................ - 20 -主要参考文献...................................................................................................... - 1 -附录.................................................................................................................. - 2 -附录1 接口设计程序..................................................................................................... - 2 -

1 概述

1.1 本选题研究的目的及意义

VGA的英文全称是Video Graphic Array,即显示绘图阵列。VGA支持在640×480的较高分辨率下同时显示16种色彩或256种灰度,同时在320×240分辨率下可以同时显示256种颜色。

VGA显示控制器控制图像信号通过电缆传输到显示器上并显示出来。目前的显示器技术主要包括两种:CRT(Cathode Ray Tube,阴极射线管)和LCD(Liquid Crystal Display,液晶显示屏)。CRT通过帧同步信号和行同步信号控制电子枪的电子束逐行逐点地扫描,将电子打在荧光点上,使之发光。通过视觉暂留的作用,看到的就是一副完整的画面。LCD与CRT类似,但CRT是模拟方式的,通过电路控制,电子束可以任意移动;而LCD是数字方式的,只有位置固定的电流通路,所以只能通过电路矩阵逐行扫描,而不能逐点,即一行上所有的点同时工作。

VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,多数的显卡都带有此种接口。有些不带VGA 接口而带有DVI(Digital Visual Interface数字视频接口)接口的显卡,也可以通过一个简单的转接头将DVI接口转成VGA接口,通常没有VGA接口的显卡会附赠这样的转接头。

目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R,G,B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。而对于LCD、DLP等数字显示设备,显示设备中需配置相应的A/D(模拟/数字)转换器,将模拟信号转变为数字信号。在经过D/A和A/D2次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失会使显示效果略微下降。

显示器因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。在FPGA的设计中可以使用很少的资源,就产生VGA各种信号。为了通过VGA端口连接显示器显示前端采集到的图像数据,本课题在Xilinx公司的SPARTAN-3E开发板上使用VGA接口在显示器上显示简单的彩条,可以作为整个采集系统显示设计的参考,具有一定的实用价值。

- 1 -

1.2本选题国内外研究状况综述

自1985年Xilinx公司推出第一片现场可编程逻辑器件(FPGA)至今,FPGA历史已经经历了十几年的发展历史。FPGA不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使FPGA 越来越多地取代了ASIC的市场,特别是对小批量、多品种的产品需求,是FPGA成为首选。自发明PFGA以来,就不断的推出新器件和开发工具,力求芯片的速度更高、功耗更低。主要推出了Virex系列和SparanTM系列的FPGA,Vriex系列突破了传统FPGA密度和性能限制,使FPGA不仅仅是逻辑模块,而成为一种系统元件。而Spartan 系列为替代ASIC的大容量FPGA树立了一个新的低成本标准。

本课题使用的就是该公司的SPARTAN-3E开发板。

VGA是IBM于1987年提出的一个使用模拟信号的电脑显示标准。在性能上,VGA将16色模式的分辨率提高到了640×480,同时VGA新提供了一种具有320×200分辨率、256种颜色的图形模式,且所显示的每一种颜色都可从262144(18位)种颜色中选择,VGA的这种色彩显示能力对微机图形/图象软件的发展起到了很大的促进作用先后分别经历了EGA, EGA, VGA, SVGA, XGA, SXGA, UXGA, QXGA, WQXGA, QSXGA, WQSXGA, QUXGA, WQUXGA, 1080P。

1920×1080分辨率(1080P)是美国电影电视工程师协会(SMPTE)制定的最高等级高清数字电视的格式标准,有效显示格式为:1920×1080,像素数达到207.36万。美国电影电视协会将数字电视扫描线的不同分为:1080p、1080i和720p。1080p是逐行扫描下达到1920×1080分辨率的显示格式,1080i是隔行扫描达到1920×1080分辨率的意思,720p是1280×720分辨率下逐行扫描的意思。原NTSC国家采用的是1080@60Hz格式,与NTSC模拟电视场频相同;而欧洲及中国等PAL制国家则采用1080@50Hz的模式。

VGA由于良好的性能迅速开始流行,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800×600或1024×768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。

VGA技术的应用主要基于VGA显示卡的计算机、笔记本等设备,而在一些既要求显示彩色高分辨率图像又没有必要使用计算机的设备上,VGA技术的应用却很少见到。

1.3 本选题研究的主要内容

在撰文之前大量阅读了FPGA和VGA显示的有关资料,学习了相关的硬件知识和原理知识。深入学习和研究了VHDL的应用实例。在了解和对比VGA显示的几种

实现方法后,经过思考、比较和讨论,决定以VHDL为VGA显示的描述语言,采用自顶向下层次化、模块化的设计方法,编写VHDL程序。

本文主要分为5章,章节安排如下:

第1章:绪论。主要介绍了开题的背景和研究意义,以及VGA显示的国内外研究现状。

第2章:VGA显示的理论研究。主要介绍VGA显示实现的基本原理。

第3章:Xilinx ISE软件的具体使用。主要描述VHDL硬件语言以及介绍Xilinx ISE 软件的具体使用。

第4章:VGA显示的设计与仿真。VGA显示的VHDL语言实现,并在spartan-3E 开发板上实现VGA显示功能,进行综合仿真并验证。

第5章:后记。主要介绍本文的优点和不足之处。

2 VGA显示的理论研究

显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,大多应用在显示器与显卡之间,同时还可以用在等离子电视输入图像的模数转换上。VGA具有分辨率高、显示速率快、颜色丰富等优点,同时VGA显示系统还具有成本低、结构简单、应用灵活的优点。VGA显示输出RGB三原色信号,RGB色彩模式是工业界的一种颜色标准,是通过对红(R)、绿(G)、蓝(B)三个颜色通道的变化以及它们相互之间的叠加来得到各式各样的颜色,目前在图像显示领域中应用非常广泛。

2.1 VGA显示特点

VGA的显示特点是:

(1)扫描格式繁多,分辨率从320×200一直延伸到1280×1024,行频15.8~70Hz,场频50~100Hz。常见的行频有31.4Hz,37.8Hz,57.9Hz,62.5Hz等,常见场频有50Hz,60Hz,70Hz,100Hz,16700K种颜色之分。

(2)显示器的显示方式有两种:A/N显示方式和APA显示方式,即文本显示方式和图形显示方式。A/N方式已淘汰不用,目前微机都采用APA图形方式。

(3)VGA接口为显示器提供两类信号,一类是数据信号,一类是控制信号。数据信号包括红(Red)、绿(Green)、蓝(Blue)信号,简称RGB信号,控制信号包括水平同步信号和垂直同步信号。输出不同分辨率时,水平同步信号和垂直同步信号的频率也不相同。

2.2 VGA 显示原理

2.2.1 VGA 显示的工作原理

常见的彩色显示器一般由CRT(阴极射线管)构成,彩色是由R(红)、G(绿)、B(蓝)3基色组成。显示是采用逐行扫描的方式,阴极射线枪发出的电子束打在涂有荧光粉的荧光屏上,产生RGB三色基,最后合成一个彩色图像。从荧幕的左上方开始自左向右扫描,每扫完一行图像电子束回到下一行的最左端,每行结束后电子枪回扫的过程中进行消隐。然后重新开始行扫描,消隐,直到扫到荧幕的右下方,电子束回到荧幕的左上方重新开始新的图像扫描,并且在回到荧幕左上方的过程中进行消隐。在消隐过程中不发射电子束。每一行扫描结束时,用HS(行同步)信号进行同步;扫描完所有的行后用VS (场同步)信号进行同步。

它的行场扫描时序示意图2.1所示。现以正极性为例,说明CRT的工作过程:R、G、B为正极性信号,即高电平有效。当VS=0,HS=0时,CRT显示的内容为亮的过

程,即正向扫描过程约为26us 。当一行扫描完毕,行同步HS=1,约需6us ,期间,CRT 扫描产生消隐,电子束回到CRT 的左边下一行的起始位置(X=0,Y=1);当扫描完480行后,CRT 的场同步VS=1,产生场同步是扫描线回到CRT 的第一行第一列(C=0,Y=0处,约为两个行周期)。HS 和VS 的时序图。T1为行同步消隐(约为6us );T2为行显示时间(约为26us ),T3为场同步消隐(两行周期);T4为场显示时间(480行周期)。

行消隐图像行消隐下一行图像

场消隐

图像

场消隐

下一行图像

行同步头

场同步头

RGB HS

RGB VS

图2.1 行场扫描时序示意图

2.2.2 VGA 时序分析

通过对VGA 显示基本工作原理的分析可知,要实现VGA 显示就要解决数据来源、数据存储、时序实现等问题,其中关键还是如何实现VGA 时序。基于像素时钟,VGA 时序控制器必须产生HS 和VS 时序信号。像素时钟定义了用于显示一个像素信息的时间,VS 信号定义了显示的刷新频率,通常刷新频率在50Hz 到120Hz 之间。给定刷新频率后即定义了水平扫描频率即HS 。

VGA 的标准参考显示时序如图2.2所示。行时序和帧时序都需要产生同步脉冲(Sync)、显示后沿(Back porch)、显示时序段(Display interval)和显示前沿(Front porch)四个部分。其中场频定义了显示的刷新频率,指定场频后所要扫描的行数指定了水平回扫频率即行频。几种常用模式的时序参数如表2.1所示。

bp

T disp

T fp

T pw

T S

T

图2.2 VGA 时序参考图

表2.1 VGA 时序参考表 图像模式

行时序(μs )

场频(lines )

pw T

bp T

disp T

fp T

pw T

bp T disp T fp T

1024*768XGA (75Hz ) 1.2 2.2 13 0.2 3 28 768 1 1024*768XGA (60Hz ) 2.1 2.5 15.8 0.4 6 29 768 3 800*600XGA (60Hz ) 3.2 2.2 20 1.0 4 23 600 1 800*600XGA (75Hz )

2.0

3.8

20.3 0.5

3

16

480

1

3 ISE工具概述

随着计算机软件、硬件和集成电路制造技术的飞速发展,数字电路硬件设计复杂程度的快速增长,以及产生的设计成果可继承性的需要,对数字电子系统的设计方法产生了极大的影响,传统的以中小规模集成电路为基础模块、以电路图为表达方式的数字电子系统的设计方法正逐步被EDA技术的设计方法所取代。

3.1 硬件描述语言

硬件描述语言(HDL,Hardware Description Language)是EDA技术的重要组成部分,常用的硬件描述语言有VHDL、Verilog、ABEL等。VHDL是EDA技术的主流硬件描述语言之一,也是本文设计所采用的硬件描述语言。

3.1.1 VHDL的发展

VHDL的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以提供VHDL 接口。此后VHDL在电子设计领域逐步取代了原有的各种非标准硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描述能力上扩展VHDL的内容,并公布了新版本的VHDL,即IEEE标准的1076-1993版本(简称93版)。现在,VHDL和Verilog HDL作为IEEE的工业标准硬件描述语言,在电子工程领域已成为事实上的通用硬件描述语言。

3.1.2 VHDL的特点

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分)。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来,VHDL语言主要具有以下优点:(1)VHDL语言功能强大,设计方式多样

VHDL语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以

描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。VHDL语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法;既支持模块化设计方法,也支持层次化设计方法。

(2)VHDL语言具有强大的硬件描述能力

VHDL语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL语言的强大描述能力还体现在它具有丰富的数据类型。VHDL语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便给硬件描述带来较大的自由度。

(3)VHDL语言具有很强的移植能力

对于同一个硬件电路的VHDL语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

(4)VHDL语言的设计描述与器件无关

采用VHDL语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的器件。这样,设计人员可以集中精力进行电路设计的优化,而不需要考虑其他的问题。当硬件电路的设计描述完成以后,VHDL语言允许采用多种不同的器件结构来实现。

(5)VHDL语言程序易于共享和复用

VHDL语言采用基于库(library)的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,将这些模块存放在库中,就可以在以后的设计中进行复用。

3.1.3 VHDL程序基本结构

一般的VHDL程序可以由实体(Entity)、结构体(Architecture)、配置(Configuration)、程序包和程序包体(Package)以及库(Library)5个部分组成,它们是VHDL程序的设计单元。其中实体、配置和程序包属于初级设计单元,主要的功能是进行端口、行为、函数等的定义。结构体和程序包体是次级设计单元,包含了所有行为以及函数的实现代码。其中,程序包和程序包体又属于公用设计单元,即它们是被其他程序模块调用的。库则是一批程序包的集合。

图3.1所示为VHDL 程序设计单元之间的关系。

配置

实体 程序包

初级设计单元

次级设计单元 程序包体 结构体

图3.1 VHDL 程序设计单元关系图

无论是复杂的还是简单的数字模块,用VHDL 来描述都至少需要包括两个部分,即实体申明(Entity Declaration )和结构体(Architecture )。其中实体申明用于说明模块的端口,而结构体用于描述模块的功能。 3.1.4 VHDL 语言的使用

(1)实体的申明方法

实体是设计的基本模块和设计的初级单元,在分层次设计中,顶层有顶级实体,含在顶级实体中的较低层次的描述为低级实体,通过配置可把顶层实体和底层实体连接起来。实体语句用关键词ENTITY 开头,实体名rsff 是描述的符号名,在结束实体语句的END rsff 之间,实体语句可以用关键词BEGIN 把实体语句分成两部分:即BEGIN 之前是实体说明,BEGIN 之后是实体语句。在ENTITY 语句的实体说明部分,常用PORT 付语描述实体对外界连接的端口(数目、方向和数据类型)。实体rsff 有4个端口,Set/Reset 是输入IN 模式,Q/QB 是输出BUFFER (缓冲)模式,都为BIT 类型。

实体描述的格式如下:

ENTITY 实体名 IS

[GENERIC (常数名:数据类型[:设定值] ;

Package Body pb is ... End Package

Bodypkg.

Entity demo is ...

End Entity demo. Configuration cfg for demo is

... Package pkg is ...

End Package pkg.

Architecture arch of demo is

...

End Entity demo. 调用

{常数名:数据类型[:设定值] });]

[PORT(端口名:端口模式数据类型;

{端口名:端口模式数据类型});]

END ENTITY 实体名;

其中,GENERIC是用于说明设计实体和其外部环境通信的对象,规定端口的大小、实体中子元件的数目、实体的延时特性等。只能用整数类型表示,如整型、时间型等,其他类型的数据不能逻辑综合。格式如下:

GENERIC ([CONSTANT]属性名称:[IN]子类型标识[:=静态表达式],……);

PORT 关键字用于定义模块的端口,它的格式如下:

PORT([SIGNAL] 端口名称:[方向]类型标识[BUS] [:=静态表达式],

[SIGNAL] 端口名称:[方向] 类型标识[BUS] [:=静态表达式],

[SIGNAL] 端口名称:[方向] 类型标识[BUS] [:=静态表达式]);

①SIGNAL:SIGNAL 是关键字,但是由于PORT 之后必须是信号类,所以一般可以将SIGNAL 关键字省略。

②端口名称:是该端口的标识,通常由英文字母和数字组成,但是必须是英文字母打头。

③方向:定义了端口是输入还是输出,如IN、OUT。表明端口方向的关键字如表3.1所示。

表 3.1 端口方向关键字说明表

关键字意义

IN 输入,信号从此端口输入模块

OUT 输出,信号从模块的此端口输出

INOUT 双向端口,既可以输入也可输出

BUFFER 输出端口,此信号模块可再用

LINKAGE 不指定方向,无论哪个方向都可以连接

④类型标识:说明流过该端口的数据类型,常用的数据类型有BIT(位)、BIT_VECTOR(位向量)、BOOLEAN(布尔型)和INTEGER(整数型)4种。

⑤BUS关键字:在该端口和多个输出端相连的情况下使用。

(2)结构体的描述方法

结构体描述实体的行为功能,一个实体可以有多个结构体。结构体是一个基本设计单元,它具体地指明了所设计模块的行为、元件及内部的连接关系,也就是定义了

设计单元具体的功能。结构体对其基本设计单元的输入/输出关系可以用3 种方式进行描述,即行为描述(基本设计单元的数学模型描述)、寄存器传输描述(数据流描述)和结构描述(逻辑元件连接描述)。不同的描述方式只体现在描述语句上,而结构体的结构是完全一样的。由于结构体是对实体功能的具体描述,因此它一定要跟在实体的后面。

结构体的格式如下:

ARCHITECTURE 结构体名OF 实体名IS

[定义语句]

BEGIN

[并行处理语句]

END 结构体名;

定义语句用于对结构体内部所使用的信号、常数、数据类型和函数等进行定义。信号定义和端口说明的语句一样,应有信号名和数据类型的说明。并行处理语句具体地描述了结构体的行为及其连接关系,它们都可并行执行。

VHDL结构体描述常常用到3种语句结构:PROCESS语句结构、BLOCK语句结构和子程序结构。在本设计中主要用到了PROCESS语句结构。

PROCESS语句结构是一种并发处理语句,在一个结构体中多个PROCESS语句可以同时并行运行(相当于多个CPU同时运作)。PROCESS语句是VHDL 语言中描述硬件系统并发行为的最基本语句。PROCESS语句的格式如下:

[进程名]:PROCESS(信号1,信号2,…)

BEGIN

END PROCESS;

(3)库

库(Library)是经编译后的数据的集合,它存放包集合申明、实体申明、构造体申明和配置定义。它的功能类似于UNIX 和MS-DOS 操作系统中的目录,在VHDL 中,库的说明总是放在设计单元的最前面.在VHDL 中可以存在多个不同的库,但是库和库之间是独立的,不能互相嵌套。

申明库的格式如下:

LIBRARY 库名;

在VHDL 语言中存在的库大致可以归纳为5 种:IEEE 库、STD 库、ASIC 矢量库、用户定义库和WORK 库。

本设计主要用到了IEEE库。在IEEE 库中的“STD_LOGIC_1164”包集合是IEEE 正式认可的标准包集合。现在有些公司提供的包集合如“STD_LOGIC_ARITH”、

“STD_LOGIC_UNSIGNED”等,尽管没有得到IEEE 的承认,但是仍汇集在IEEE 库中。在使用IEEE库前首先要进行说明,格式为:

USE 库名.包集合名.项目名;

如果项目名为ALL,则表示包集合中的所有项目都要使用,例如:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.ALL;

USE WORK.STD_ARITH.ALL;

库说明语句的作用范围从一个实体说明开始到它所属的构造体、配置结束为止。当一个源程序出现两个或两个以上的实体时,两条作为使用库的说明语句就在每个实体说明语句前重复书写。例如,在一个VHDL 文件中定义两个实体,库的申明如下:-- 第一个实体的库申明

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1644.ALL;

-- 第一个实体申明

ENTITY ent1 is

END ent1;

-- 第一个实体的结构体

ARCHITECTURE arch1 of ent1 is

END arch1;

-- 第一个实体的配置

CONFIGURATION cfg1 of ent1 is

END cfg1;

-- 第二个实体的库申明

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1644.ALL;

-- 第二个实体申明

ENTITY ent2 is

END ent2;

-- 第二个实体的结构体

ARCHITECTURE arch2 of ent2 is

3.2 ISE 使用方法

3.2.1 ISE的主要功能

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。下面简要说明各功能的作用:

(1)设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具,用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。

(2)综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,实现无缝链接。

(3)仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。

(4)实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。

(5)下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了IMPACT,功能是进行芯片配置和通信,控制将程序烧写到FPGA芯片中去。

3.2.2 ISE的使用方法

(1)创建工程

用ISE完成一个HDL设计的仿真,必须建立一个ISE工程。

①启动ISE,单击菜单【File】/【New Project】,就会出现对应的“Create New Project”窗口。

②单击“Create New Project”窗口上的【Next】按钮,弹出“Device Properties”的窗口。直接单击【Next】进入下一步,一直点击【Next】直到【Finish】完成。

③完成后在Sources窗口中显示工程文件夹以及工程所用芯片。右击可以新建文件,添加已经写好的文件,添加文件并复制该文件到工程文件夹中。新建文件的类型,不同的类型有着不同的功能和意义。选择“VHDL Module”类型,输入一个名字点击【Next】,进入下一级的添加实体端口,输入端口名和端口的类型及位数点击【Next】,

就进入了最后的Summary窗口,上面给出了该文件的概要。

④输入要运行的程序,这样一个工程就建立完成了。

(2)编译

代码编写好后,点击保存,然后检查看编写代码是否有错误,如图 3.2所示,Synthesize – XST, Check Syntax, 点击检查后是绿色的勾,则代码没有错误,可以进行下一步功能仿真。

图3.2 代码的编译

(3)行为(功能)仿真

①同样在Sources区域右键添加New Source如图3.3所示,添加图形仿真文件:

图3.3 添加图形仿真文件

基于FPGA的VGA接口显示的设计与实现

编号 基于FPGA的VGA接口显示的设计与实现Design and implementation of VGA interface based on FPGA display 学生姓名王雪 专业控制科学与工程 学号S120400520 指导教师杨晓慧 学院电子信息工程学院 二〇一三年六月

摘要 利用现场可编程逻辑器件FPGA产生VGA时序信号和彩条图像信号,并将其作为信号源,应用于彩色等离子显示器的电路开发,方便彩色等离子显示器驱动控制电路的调试。FPGA芯片具有可靠性高、编程灵活、体积小等优点,实验经过软硬件调试,最终在显示器上显示彩条正确图像。利用此原理,可以设计更多的彩色图像,且可将采集的图像进行实时显示,将此作为信号源,应用于显示器电路的开发或某些嵌入式系统中,进行视频设备的调试与性能分析或系统中信号处理模块的调试与性能分析等。 关键词:FPGA VGA接口时序控制彩条信号

Abstract VGA-timing signals and color strip image were obtained by using FPGA.The signals were used as sources when developing the circuits of color plasma display panel, and it took great convenience to the debugging of the driving and controlling circuit of color plasma display panel.The FPGA chip has the advantages of high reliability, small volume, flexible programming,just because of this,the test could achieve the desired results,display scree displays color bar signals.According to this principle, we can design color image more, and make the image real-time display, such as the signal source, used in display circuit development or some embedded systems, video equipment debugging and performance analysis of the system signal processing module debugging and performance analysis. Keywords:FPGA, VGA interface,timing control, color bar signals

基于FPGA的VGA图像显示

基于FPGA的VGA图像显示 1、VGA显示原理 VGA标准是一种计算机显示标准,最初是由IBM公司在1987 年提出的,分辨率是640*480。VGA 接口也叫做D_Sub 接口,是显卡上输出模拟信号的接口。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的D/A 转换器转变为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。 常见的彩色显示器一般由阴极射线管(CRT) 构成,彩色由GRB(Green Red Blue) 基色组成。显示采用逐行扫描的方式解决,阴极射线枪发出电子束打在涂有荧光粉的荧光屏上,产生 GRB 基色,合成一个彩色像素。扫描从屏幕的左上方开始,从左到右,从上到下,逐行扫描,每扫完一行,电子束回到屏幕的左边下一行的起始位置,在这期间,CRT 对电子束进行消隐,每行结束时,用行同步信号进行行同步;扫描完所有行,用场同步信号进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,并预备进行下一次的扫描。 要实现VGA显示就要解决数据来源、数据存储、时序实现等问题,其中关键还是如何实现VGA时序。VGA的标准参考显示时序如图1所示。行时序和帧时序都需要产生同步脉冲(Sync a)、显示后沿(Back porch b)、显示时序段(Display interval c)和显示前沿(Front porch d)四个部分。 2、方案设计 由VGA的显示原理可知,该任务的关键是VGA时序控制部分和汉字图形显示部分: (1)VGA时序控制部分,采用FPGA本地50MHz时钟,根据所需时序要求,经Verilog 语言编写的计数模块分频而得到,该部分十分重要,如果产生的时序有偏差,那么就会使汉字图形无法显示或显示结果混乱;

基于FPGA的VGA显示设计报告

正文 一,VGA时序标准 VGA是一种常用的显示输出接口,采用行场扫描控制结合RGB三色合成原理,输出 显示信号。每个VGA接口为15针接口,分三行排布,每行5针。如图所示: 图1.1 VGA接口 15针并未全部使用,有效的信号线共5根,即红绿蓝三基色信号线:R,G,B,每线电压从0V到0.71V变化,表示无色到饱和,依据电平高低,显示颜色的饱和程度。行同步控制信号,Hsync,控制每行扫描像素的有效和失效。场同步:Vsync,控制场方向,即整个图像显示过程的时间长度,场同步中的显示部分的时间长度,等于每行扫描时间的总和。 在不同刷新频率下,显示每个像素的时间是不同的,相同刷新频率下,每个像素显示时间是固定的,所以,不同的每个像素写入时间,导致了分辨率的不同。因为VGA的显示是逐行扫描,每行从左到右扫描,到了行尾,回归到下一行的行头,继续向尾部扫描。所以,显示原理是逐次写入每行的像素数据,直到整幅图像显示成功为止。 VGA显示的数据是不能锁存的,所以必须一次又一次的连续输入数据,72Hz的刷新率下,一秒钟显示72幅图像,所以,需要连续写入72幅图像,才能达到一秒的显示效果。所以,VGA显示图像,要反反复复写入图像数据,才能得到持续的显示效果。 图1.2 VGA接口线序 VGA显示,无法做到类似于TFT液晶屏的定点写入,VGA是扫描式暂时显示,所以时序显得尤为重要,时序出现失误,图像会出现走形,无法达到准确效果。而显示的时序控制主要依靠两条数据通道:行同步和场同步,即Hsync和Vsync,其控制了扫描显示的起点和终点,同时控制扫描起点的时间,通过时间的控制,达到确定的显示效果。 具体的控制时序图如下:

基于FPGA的VGA显示 论文

VGA显示器控制电路 论文

前言 VGA(视频图形阵列)作为一种标准的显示接口得到广泛的应用。利用FPGA 芯片和EDA设计方法,可以因地制宜,根据用户的特定需要,设计出针对性强的VGA显示控制器,不仅能够大大的降低成本,还可以满足生产实践中不断变化的用户需要,产品升级换代方便迅速。 在本设计中采用Altera公司的EDA软件工具Quartus II,并以Cyclone II系列的FPGA的器件作为主实现硬件平台的设计。

一、FPGA的原理 FPGA 是Filed Progranmmable Gate Array的缩写,即现场可编程逻辑阵列。FPGA是在CPLD的基础上发展起来的新型高性能可编程逻辑器件它一般采用SRAM工艺,也有一些专用器件采用Flash工艺或反熔丝(Anti_Fuse)工艺等。FPGA的集成度很高,其器件密度从数万系统门到数千万系统门不等,可以完成极其复杂的时序与组合逻辑电路功能,适用于高速、高密度的高端数字逻辑电路设计领域。FPGA的基本组成部分有可编程输入/输出单元,基本可编程逻辑单元、嵌入式块RAM、丰富的布线资源、底层嵌入功能单元、内嵌专用硬核等。FPGA 的主要器件供应商有Xilinx、 Altera、 Lattice、 Actel和 Atmel 等。 二、 VGA转换接口的简单描述 本设计另外自制VGA接口电路。 VGA时序控制模块是整个显示控制器的关键部分,最终的输出信号行、场同步信号必须严格按照VGA时序标准产生相应的脉冲信号。对于普通的VGA 显示器,其引出线的共含5个信号:G,R,B(三基色信号),HS(行同步信号),VS(场同步信号)。在五个信号时序驱动时,VGA显示器要严格遵循“VGA工业标准”,即640Hz×480 H z×60Hz模式。 下图(1)为VGA显示控制器控制CRT显示器 VGA(Video Graphic Array)接口,即视频图形阵列,也叫做D-Sub接口,是15针的梯形插头,分3排,每排5个,传输模拟信号。VGA接口采用非对称分布的15针连接方式,其工作原理:是将显存内以数字格式存储的图像(帧)信号在RAMEAC里经过模拟调制成模拟高频信号,然后再输出到显示设备成像。目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R、G、B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像生成图像。而对于LCD、DLP扥数字显示设备,显示设备中需配置相应的A/D (模拟/数字)转换器,将模拟信号转变为数字信号。在经过D/A和A/D2次转换后,不可避免地造成了一些图像细节的损失。VGA接口应用于CRT显示器无可厚非,但用于连接液晶之类的显示设备,则转换过程的图像损失会使显示效果略微下降。VGA接口的引脚分配如下图(1)所示

基于FPGA的VGA接口设计

毕业设计(论文) 基于FPGA的VGA显示接口设计 摘要 本文基于FPGA芯片设计实现了一个用于stm32单片机外围的VGA接口模块,该模块以VGA接口的工业标准作为设计规范,使VGA显示器成为了stm32单片机的显示输出设备,适合所有的液晶显示器和CRT显示器。本系统采用带VGA接口的OLED显示器,OLED显示器具有体积小、分辨率高、功耗低、色彩丰富等特点,非常适合穿戴。模块与STM32单片机的接口使用Intel8080总线方式,非常适合STM32的FSMC读写模式,能方便地对屏幕任意像素进行读写操作。 关键字FPGA,VGA,OLED,STM32

VGA display interface design based on FPGA Abstract As people pursuit for more fun from games and the progress of science and technology, in the wargame , in order to make the team have a clear understanding of the battlefield situation, we use the OLED which is a kind of micro display to show all the information. The micro display generally use the VGA interface. With the development of manufacturing technology, VGA interface has become more compact, it has advantages of low cost, high resolution rich color etc. FPGA with high flexibility can be designed differently according to the needs, achieve the lowest cost. This paper designed a VGA display interface based on FPGA. Useful signal of the VGA interface is the horizontal synchronization signal, vertical synchronization signal and R,G,B signals. In this paper, we use FPGA to produce the horizontal synchronization signal and vertical synchronization signal, and the data from memory is converted into analog R,G,B signal by FPGA. The design use ISSI 25616 SRAM as the memory connectted by STM32F103VCT6. Key words :FPGA,VGA interface,OLED

基于FPGA的VGA图像显示与控制

基于FPGA的VGA图像显示与控制 课程要求:采用verilog语言,基于FPGA的VGA图像显示,即能够在显示器上实现动态彩色图像的显示。 VGA显示接口的理论分析:硬件采用CycloneII系列的EP2C20Q240C8N,它含有240个引脚。对于VGA的显示器遵循800*600@75模式,其中800是指每行中显示的像素的个数,而600是指屏幕每一列所包含的像素的个数。 VGA工业标准规定了具体地,在扫描过程中的时序图如下: 行扫描时序图 场扫描时序图 每场信号对应625个行周期,其中600行为图像显示行,每场有场同步信号,该脉冲宽度为3个行周期的负脉冲;每行显示行包括1056个点时钟,其中800点为有效显示区,每行有一个行同步信号的负脉冲,该脉冲宽度为80个点时钟。这样我们可以知道,行频为625*75=46857Hz。需要的点时钟的频率为:625*1056*75=49.5MHz约为50MHz。由上图可知,实际上在真正的实现过程中,每一行扫描所花的时间实际上比显示一行的像素所花的时间多了1056-800=256个像素点。同理,每一场的扫描时间多了625-600=25个行时间。 设计思路: 我们采用BmpToMif工具把BMP格式的图像转换为.mif文件。利用QuartusII7.2自带的MegaWizard Plug-In Manager产生一个ROM存储器,并用其来初始时.mif 文件。即将图像文件写入到存储器里面。然后利用编程来控制图像的显示。 设计步骤: 1、工程创建:创建一个Project取名字为vga,在创建工程的向导中选着CycloneII 系列的EP2C20Q240C8。 2、代码书写:新建一个Verilog HDL File编写程序代码如下: `timescale 1ns/1ps module tupian (clk,rst_n,hsync,vsync,vga_r,vga_g,vga_b,addr); input clk,rst_n;

(完整版)本科毕业设计___基于FPGA的VGA显示控制器设计

基于FPFA的VGA显示控制器设计 摘要 目前,数码产品逐渐进入了人们生活的每一个领域,而此类产品大多都带有显示屏,可见对显示屏的控制电路进行研究具有很大的市场需求。VGA作为一种标准的显示接口得到了广泛的应用,同时基于VGA技术的显示控制器也拥有广泛的使用领域。 本文所设计的VGA控制器就是通过利用超大规模可编程逻辑器件FPGA和Altera公司开发的EDA设计软件Quartus II 8.0,并采用自顶向下的VHDL设计方法,将该控制器分成用VHDL语言进行描述的五个子模块来实现的。在Quartus II 8.0软件平台上,完成了对该控制器的设计输入、综合、仿真和下载。在实验开发板上,也进行了硬件调试。调试结果表明,设计的VGA控制器能够正确地输出RGB颜色信号和时序控制信号,可以显示符合VGA工业标准的测试彩条信号,内置ROM信息,实时RAM信息,还能够分屏显示三路RGB图像信息,并在这几种模式之间灵活切换和通断。它工作稳定,达到了设计要求。 本设计利用了不同于以往传统的电子设计方法,具有修改灵活,高移植性,维护简单,可靠性好等优点。大大地缩短了设计周期,降低了生产成本。 关键词现场可编程门阵列;硬件描述语言;视频图像阵列 -

-

The VGA Display Controller Design Based on FPFA Abstract The currently, the digital products which most of them have a display screen entered in every area of people's lives gradually, so we can see the great market demand of the study on the control circuit .As a standard display interface has been widely used, the VGA display controller based on this VGA norm also has a broad field of use at the same time. In this paper, designed through the use of ultra-large-scale programmable logic device-FPGA and Altera developed EDA design software Quartus II of version 8.0, and the VHDL top-down design methodology,the VGA controller was divided into five sub-modules which described with VHDL language. It has finished the design of the controller input, integrated, simulation, and download on Quartus II 8.0 software platform,and it has carried out hardware debugging on the experimental development board. The results from debugging showed that the design of the VGA controller can output the color signals of RGB and the control signals correctly. It can show the images that compliance with industry standard of VGA ,such as the color bar test signal, a built-ROM image, and a real-time RAM image, but also to show the three-way RGB image information on one screen separately,and it can make a choice between these types of models and control the output connect or disconnector.It -

基于FPGA的VGA显示控制毕业设计

引言 基于FPGA的VGA显示控制设计 1 引言 1.1 选题背景 CRT显示器作为一种通用型显示设备,如今已广泛应用于我们的工作和生活中。与嵌入式系统中常用的显示器件相比,它具有显示面积大、色彩丰富、承载信息量大、接口简单等优点,如果将其应用到嵌入式系统中,可以显著提升产品的视觉效果。如今随着液晶显示器的出现,越来越多的数字产品开始使用液晶作为显示终端。但基于VGA标准的显示器仍是目前普及率最高的显示器[1]。若驱动此类显示器,需要很高的扫面频率,以及极短的处理时间,正是由于这些特点,所以可以用FPGA来实现对VGA 显示器的驱动。本次专业课程设计即选用FPGA来实现VGA图片的显示。 随着FPGA的不断发展及其价格的不断下降,FPGA的可编程逻辑设计的应用优势逐渐显现出来。现在,越来越多的嵌入式系统选择了基于FPGA的设计方案。在基于FPGA的大规模嵌入式系统设计中,为实现VGA显示功能,既可以使用专用的VGA接口芯片如SPX7111A等,也可以设计和使用基于FPGA的VGA接口软核。虽然使用VGA 专用芯片具有更稳定的VGA时序和更多的显示模式可供选择等优点,但设计和使用VGA接口软核更具有以下优势:(1)使用芯片更少,节省板上资源,减小布线难度;(2)当进行高速数据传输时,具有更小的高频噪声干扰;(3)FPGA(现场可编程门阵列)设计VGA接口可以将要显示的数据直接送到显示器,节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。 1.2 目的和意义 显示绘图阵列(video graphic array,VGA)接口,他作为一种标准的显示接口得到了广泛的应用。VGA接口大多应用在显示器与显卡之间;同时还可以用用在擦二色等离子电视输入图像的模数转换上;VGA接口同样也是LCD液晶显示设备的标准接口[2]。可编程逻辑器件随着微电子制造工艺的发展取得了长足的进步[3]。早期的器件只能存储少量的数据,完成简单的逻辑功能;发展到现在,可以完成复杂的逻辑功能,速度更块,规模更大,功耗更低。目前可编程逻辑器件主要有两大类:复杂可编程逻辑器件(complex programmable logic device,CPLD)和现场可编程逻辑器件(filed

基于FPGA的VGA显示详解(附VHDL代码)

基于FPGA的VGA显示(后附VHDL代码) 整个VGA的时序操作很简单,就是形成一个具有一定占空比的电平周期。只是整个VGA的操作涉及到一些专有名词,理解上比较困难,一旦明白了这些是什么意思后,操作即将变得很简单。 VGA工作流程: 常见的彩色显示器,一般由CRT (阴极射线管)构成,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描或隔行扫描的方式实现图像显示,由VGA控制模块产生的水平同步信号和垂直同步信号控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。行同步信号HS 和场同步信号VS是两个重要的信号。 注意点: 什么时候消隐?为什么要消隐?当一行扫描完毕后然后电子枪又转到下一行的这段时间或是扫描完所有的行后电子枪回到第一行的这段时间,这两段时间都要消隐。在消隐的时间内,数据是无效的。这样就保证电子枪的回扫的个动作不干扰显示,因为回扫这个动作是很频繁的,若在这个时间段内数据有效,那么就回在显示屏上出现电子枪回扫的轨迹。 消隐的时候我们干什么?消隐这个动作是显示屏(CRT)执行的,我们在编程时只要注意有这么个东西就行。 同步信号(包括HS和VS)是什么?这个就相当于一个数据起始信号,表明数据马上就要开始了。如果撇开具体的设备,那么这个信号和AD、DA中常用的Sync(同步)、CS(片选)信号相当。该信号一般为负电平,但对于有的显示器可不关心该信号的极性,因为它内部可自动转换正负逻辑。 对于普通的VGA显示器,需要引出5个信号:R,G,B:三原色;HS:行同步信号;VS:场同步信。对于VGA 显示器的上述五个信号的时序驱动要严格遵循“VGA工业标准”,即640×480×60HZ模式,否则无法显示正确地图象。 VGA工业标准要求的频率: 时钟频率:25.175MHz(像素输出的频率) 行频:31469Hz(即行信号HS的频率) 场频:59.94Hz(即场信号VS的频率)(每秒图像刷新频率) 行扫描时序图: 各个参数的意义和时间长度(时钟频率为25MHz) Ta:水平同步脉冲,这里为负电平,96个时钟周期 Tb+Tc:行消隐后沿时间,高电平,48个时钟周期 Td:视频数据有效时间,高电平,646个时钟周期 +Tf:行消隐前沿时间,高电平,16个时钟周期 Te

课程设计基于FPGA的VGA图像汉字显示及-PS-2键盘控制设计与应用 精品

题目基于FPGA的VGA图像汉字显示及PS/2键盘控制设计与应用 1.方案设计及工作原理 1.1 VGA模块方案设计 采用模块化设计方法,我们对VGA 图形控制器按功能进行层次划分。本设计的VGA控制器主要由以下模块组成:VGA 时序控制模块、ROM 内存地址控制模块、ROM内存模块、按键扫描控制模块。如图 1 所示。 图1.方案模块框图 1.2工作原理 VGA时序控制模块ROM内存地址控制模块ROM 按键扫描控制模块50MHz 5Hz addr data 首坐标xx、yy VGA 接口RGB HS、VS D/A CRT 根据VGA的显示协议,可以选择不同的显示分辨率。本设计选择的是800*600的分辨率。根据相应协议,在“VGA时序控制模块”对行扫描信号HS和场扫描信号VS进行相应的时序控制(具体控制详细信息见“VGA驱动原理”资料文档)。“ROM内存地址控制模块”根据“按键扫描控制模块”获得的图片显示首坐标xx、yy信息和“VGA时序控制模块”传送过来的ht(列计数器值)、vt(行计数器值)及相关控制信号计算出正确的内存地址,从rom中取出所需要的像素点的三基色数据。

1.3 PS/2接口电路的工作原理 PS/2协议和接口最初是IBM公司开发并推广使用的一种应用于键盘/鼠标的协议和接口,PS/2键盘按键接口是6芯的插头与PC机的键盘相连接,插头、插座定义如图所示。 键盘/鼠标接口的数据线和时钟线采用集电极开路结构,平时都是高电平当这些设备等待发送数据时,它首先检查clock是否为高。如果为低,则认为PC抑制了通讯,此时它缓冲数据直到获得总线的控制权。如果clock为高电平,设备则开始向PC发送数据。一般都是由ps2设备产生时钟信号。发送按帧格式。数据位在clock为高电平时准备好,在clock下降沿被PC读入。图3所示是按下Q 键的时序图“Q”键的扫描码从键盘发送到计算机(通道A是时钟信号,通道B 是数据信号)。因本设计只需进行控制,所以PS/2模块并未设计转码功能。 2.模块设计及仿真 2.1 VGA显示模块 图片想要在显示器上显示出来,它主要需要5个信号,行同步信号(HS)、场同步信号(VS)和RGB三基色信号。 VGA时序控制模块是须严格按照VGA

课程设计-基于fpga的vga图形显示大学论文

电子信息工程专业综合设计(报告) (课程设计) 题目基于FPGA的VGA图形显示 二级学院电气与电子工程学院 专业电子信息工程 班级 学生姓名学号 同组姓名 指导教师 时间

基于FPGA的VGA图形显示 摘要: 本次的题目是基于FPGA的VGA图形显示,实现VGA图像显示与控制。本文介绍的主要内容围绕着显示特定图片,且图片可受控制的相关原理与方法展开。根据VGA显示原理,利用VHDL作为逻辑描述手段,设计了一种基于现场可编程器件FPGA的VGA接口控制器。实现VGA图像显示控制器是通过Altera公司的QuartusII软件环境下对VGA模块的设计和顶层逻辑框图设计。FPGA的嵌入式系统中能代替VGA的专用显示芯片,节约硬件成本,节省计算机处理时间,加快数据处理速度并具有显示面积大,色彩丰富、承载信息量大、接口简单等优点。除此以外FPGA芯片和EDA设计方法的使用,可根据用户的需求,为设计提供了有针对性的VGA显示控制器,可不需要依靠计算机,它可以大大降低成本,并可以满足生产实践中不断改变的需要。 关键字:FPGA VGA 图像控制器 一、前言 本次课程设计主要是通过Altera公司的QuartusII软件环境下对VGA模块的设计和顶层逻辑框图设计。VGA是IBM于1987年提出的一个使用模拟信号的电脑显示标准。在性能上,VGA将16色模式的分辨率提高到了640×480,同时VGA新提供了一种具有320×200分辨率、256种颜色的图形模式,且所显示的每一种颜色都可从262144(18位)种颜色中选择,VGA的这种色彩显示能力对微机图形/图象软件的发展起到了很大的促进作用先后分别经历了EGA, EGA, VGA, SVGA, XGA, SXGA, UXGA, QXGA, WQXGA, QSXGA, WQSXGA, QUXGA, WQUXGA, 1080P。 目前大多数计算机与外部显示设备之间都是通过模拟VGA接口连接,计算机内部以数字方式生成的显示图像信息,被显卡中的数字/模拟转换器转变为R,G,B三原色信号和行、场同步信号,信号通过电缆传输到显示设备中。对于模拟显示设备,如模拟CRT显示器,信号被直接送到相应的处理电路,驱动控制显像管生成图像。而对于LCD、DLP等数字显示设备,显示设备中需配置相应的

基于FPGA的VGA显示控制器设计与图像显示

信息工程学院工程实训报告 题目:基于FPGA 的VGA 显示控制器设计与图像显示 学 号:_________________________ 姓 名:_________________________ 专 业 名 称:_________________________ 指 导 教 师: 陈 波 2011年05月18日 王 巍 控制工程 201002426

摘要 该报告简述了QuartusⅡ仿真软件的基本操作方法,同时介绍FPGA逻辑器件的相关知识和Verilog硬件描述语言的相关知识,在基于FPGA逻辑器件、QuartusⅡ仿真软件和Verilog硬件描述语言下,设计了VGA显示控制器。该VGA图象显示控制器是用模块设计并通过它们的级连实现的,具体是通过硬件电路的设计且能实现的方案来用Verilog语言对硬件电路进行描述,同时把Verilog语言在QuartusⅡ中进行仿真,并在显示器中显示出图像。 关键词:VGA显示控制;Verilog;QuartusⅡ

目录 1.VGA显示概述 (2) 1.1 VGA显示的发展状况 (2) 1.2 VGA显示接口 (3) 1.3 VGA显示的原理 (3) 1.4 FPGA简介 (4) 1.5 QuartusII软件概述 (6) 1.6 本实训的开发板简介 (6) 2 显示控制实现技术 (7) 2.1 研究的基本内容 (7) 2.2 硬件电路实现 (8) 2.3 软件实现 (9) 2.4 设计方案 (11) 3 VGA显示调试与显示 (13) 3.1 软件程序设计 (13) 3.2 程序在QuartusⅡ下的编译与仿真 (18) 3.3 显示结果 (21) 3.4 总结 (31) 4 实训总结与心得 (31) 参考文献 (32)

基于FPGA的VGA和HDMI视频拼接系统设计

基于FPGA的VGA和HDMI视频拼接系统设计

基于FPGA的VGA和HDMI视频拼接系统设计 摘要 随着图像显示技术的快速发展,图像用户界面和人机交互界面正朝着智能化、高速化、大屏幕化方向迈进。目前图像显示系统多数是采用早期的专用处理芯片,其运算速度和设计灵活性一般都较低。 FPGA 的发展为图像存储与显示系统的高速和高集成度提供了新的方法和解决思路,FPGA 本身拥有着强大的逻辑资源,并利用片外的配置资源和模块化的设计思路,可实现图像存储与显示系统。 论文采用 Altera 公司推出的Cyclone IV FPGA,结合该系列芯片的结构特点,对其功能以及配置方式做了详细的说明,并简要的介绍了系统设计中所涉及的软硬件开发环境和显示原理,重点研究基于 FPGA 的图像信号剪切、存储和显示,系统采用基于FPGA的高速阵列的信号处理模式,提出了一种基于硬件的图像存储与显示的视频拼接显示方法。 该设计以 FPGA 为数字处理的核心,分为图像处理模块、图像存储模块和图像显示模块,通过处理输入的视频信号,把视频剪切成两部分,分别以VGA和HDMI在两个显示器里分别显示,实现了视频的拼接显示功能。 关键字:FPGA VGA HDMI 拼接显示

VGA and HDMI video splicing FPGA-based display ABSTRACT As the image shows the rapid development of technology, graphical user interface and interactive interface is moving intelligent, high-speed, large screen direction. At present, the majority of the image display system is the use of dedicated processing chip early, usually its speed of operation and design flexibility are low. FPGA development for high-speed and highly integrated image storage and display system provides a new approach and solution ideas, FPGA itself has a powerful logic resources and use off-chip resource allocation and modular design ideas can be realized Images storage and display system. Thesis, Altera has introduced Cyclone IV FPGA, combined with the structural characteristics of the chips and their functions as well as a detailed configuration instructions, and a brief description of the system involved in the design of hardware and software development environment and display principle focus Cut image signal based on FPGA, storage and display, the system uses the signal processing FPGA-based high-speed mode arrays, we propose a hardware-based image storage and display method for displaying video splicing. The digital processing designed to FPGA core, divided into an image processing module, an image storage module and an image display module, by processing the input video signal, the video cut into two parts, respectively in the two VGA monitors and HDMI Lane respectively display, to achieve a video mosaic display function. Key words: FPGA VGA HDMI Tiled Display

基于FPGA的VGA彩条图像显示

基于FPGA的VGA彩条图像显示 1. 设计的任务及内容 在电子电路领域中,设计自动化工具已经被广大电子工程师所接受,它必将取代人工设计方法并成为主要的设计手段。目前,Verilog语言已经成为各家EDA工具和集成电路厂商认同和共同推广的标准化硬件描述语言,随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展,这种发展必将导致集成电路的设计规模日益增大。 该实训的设计是用Verilog语言硬件描述语言设计出一个VGA图象显示控制器,用Verilog 硬件描述语言进行编程,把Verilog语言描述的VGA图象显示控制器所需的程序在QuartusⅡ软件环境下进行模拟仿真,以此来验证所设计的结果是否满足设计的要求。在结果符合要求的情况下把Verilog程序下载到FPGA器件上,利用FPGA器件内部存储器存储所需要的数据,再通过VGA接口输出到显示器上,从而达到设计的要求。 VGA显示器因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备,FPGA以其结构的优势可以使用很少的资源产生VGA的各种控制信号。 CRT显示器作为一种通用型显示设备,如今已广泛应用于我们的工作和生活中。与嵌入式系统中常用的显示器件相比,它具有显示面积大、色彩丰富、承载信息量大、接口简单等优点,如果将其应用到嵌入式系统中,可以显著提升产品的视觉效果。如今随着液晶显示器的出现,越来越多的数字产品开始使用液晶作为显示终端。但基于VGA标准的显示器仍是目前普及率最高的显示器[1]。若驱动此类显示器,需要很高的扫面频率,以及极短的处理时间,正是由于这些特点,所以可以用FPGA来实现对VGA显示器的驱动。本次专业课程设计即选用FPGA 来实现VGA的显示。 随着FPGA的不断发展及其价格的不断下降,FPGA的可编程逻辑设计的应用优势逐渐显现出来。现在,越来越多的嵌入式系统选择了基于FPGA的设计方案。在基于FPGA的大规模嵌入式系统设计中,为实现VGA显示功能,既可以使用专用的VGA接口芯片如SPX7111A等,也可以设计和使用基于FPGA的VGA接口软核。虽然使用VGA专用芯片具有更稳定的VGA时序和更多的显示模式可供选择等优点,但设计和使用VGA接口软核更具有以下优势:(1)使用芯片更少,节省板上资源,减小布线难度;(2)当进行高速数据传输时,具有更小的高频噪声干扰;(3) FPGA(现场可编程门阵列)设计VGA接口可以将要显示的数据直接送到显示器,节省了计算机的处理过程,加快了数据的处理速度,节约了硬件成本。 1.1 FPGA简介 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分[9]。FPGA的基本特点主要有: 1)采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。 4)FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 1

基于FPGA的VGA显示控制器设计

课程设计 开课学期:第六学期 课程名称:FPGA课程设计学院: 专业: 班级: 学号: 姓名: 任课教师: 2015 年7 月20 日

基于FPGA的VGA显示控制器设计 一、设计方案 1. 设计的主要内容 此设计要求实现某一分辨率下(如640*480@60Hz)的VGA显示驱动,能简单显示彩条和图像等。能够熟悉VGA接口协议、工作时序及VGA工作原理,并计算出合适的时序,对原始时钟进行分频处理以获取符合时序要求的各率,此外须要显示的图像等可存储于外部存储器,运行时,从外部存储器读取显示数据。将图像控制模块分为这样几部分;二分频电路、地址发生器、VGA时序控制模块、图像数据存储器读出模块.如图1-1所示: 图1-1 VGA显示控制系统框图 2. 设计原理 显示控制器是一个较大的数字系统,采用模块化设计原则、借鉴自顶向下的程序设计思想,进行功能分离并按层次设计。利用VHDL硬件描述语言对每个功能模块进行描述,并逐一对每个功能模块进行编译仿真,使顶层VGA显示控制器的模块实体仿真综合得以顺利通过。其中二分频把50MHZ实在频率分成25Mhz并提供给其它模块作为时钟;VGA时序控制模块用于产生640X480显示范围,并控制显示范围和消隐范围以及产生水平同步时序信号HS和垂直同步时序信号VS的值;存储器读出模块提供给SRAM地址并按地址读出八位数据(灰度值Y),然后得到R、G、B的值(若Y>中间值,则R=G=B=1;

否则R=G=B=0),并把R、G、B 的值通过VGA接口传送给VRT显示器[9]。地址发生器接收所要显示的数据读取控制信号,产生与图像数据ROM模块对应得地址,根据VGA显示的像素分布,确定读取对应数据的地址,由于所显示的图形每行需256个像素,而ROM中每个地址存储的数据时64位,故每4个地址取出的数据用于一行的显示。VGA显示控制模块:主要分为时序信号和数据颜色的控制,imgrom模块即图像数据ROM模块,在这一模块中需要解决的是图像数据BMP位图文件的来源及转换成HEX文件,利用Image2lcd 对本次设计图片处理得到BMP文件,最终在Quartus II得到HEX文件,在已设置LPM_ROM 进行加载图像数据。注意其数据线宽为3,恰好可以放置RGB三信号数据,因此此设计图像仅能显示8种颜色。此外注意各模块对图像显示的区域控制。 二、系统实现 此系统设计分主要由,二分频模块,地址译码器模块,VGA显示控制模块及图像数据ROM来实现对图像的显示。计数器模块设计简单,用计数器计数来控制,以实现某一个区域显示相应的颜色。具体以VGA显示模块和图像数据ROM为例进行详细分析与操作。 1. VGA显示控制模块 VGA显示控制模块主要通过VGA时序产生640*480显示范围,并控制和消隐范围以及产生水平同步时序信号hs和垂直同步时序信号vs的值。 一个独立的计数器产生垂直时序信号。垂直同步计数器在每个HS脉冲信号来临时自动加1,译码值产生VS信号。计数器产生当前显示行。这两个计数器从地址到显示缓冲器连续计数。 首先启动QUARTUS Ⅱ软件,新建vga640480显示扫描模块工程文件,如下图2-1所示:

基于FPGA的VGA显示设计及仿真实现

本科毕业论文(设计) 论文题目:基于FPGA的VGA显示设计及仿真实现姓名:XXX 学号:XXX 班级:XXX 年级:XXX 专业:通信工程 学院:信息工程学院 指导教师:XXX 完成时间:XXXX 年X月XX 日

作者声明 本毕业论文(设计)是在导师的指导下由本人独立撰写完成的,没有剽窃、抄袭、造假等违反道德、学术规范和其他侵权行为。对本论文(设计)的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。因本毕业论文(设计)引起的法律结果完全由本人承担。 毕业论文(设计)成果归XXX所有。 特此声明。 作者专业: 作者学号: 作者签名: 年月日

基于FPGA的VGA显示设计及仿真实现 XXX The Design and Implementation of the VGA display based on FPGA XXXX 年X月XX 日

摘要 本文简述了VGA显示的特点和工作原理,重点介绍了采用自顶向下层次化、模块化的设计方法,在FPGA上实现VGA的显示设计。 显示绘图阵列(video graphic array,VGA)接口是LCD液晶显示设备的标准接口,VGA具有分辨率高、显示速率快、颜色丰富等优点。显示器因为其输出信息量大,输出形式多样等特点已经成为现在大多数设计的常用输出设备。 在FPGA的设计中可以使用很少的资源,就产生VGA各种信号,再加上VHDL语言灵活的描述方法以及与硬件无关的特点,使得使用VHDL语言基于FPGA芯片实现VGA 显示控制成为研究的方向。 本文对基于VHDL的VGA的显示控制进行了研究,并设计了VGA显示器彩条信号发生器。所做的主要工作为: (1)在设计中采用了自顶向下的层次化、模块化的设计思想,将整个接口划分为多个模块,利用VHDL语言的描述方法进行了各个功能模块的设计,最终完成了VGA显示的系统设计。 (2)运用ISE软件进行仿真。 关键词:VHDL;VGA;ISE;彩条信号

相关主题
文本预览
相关文档 最新文档