当前位置:文档之家› 06 - WinCC 集成在Step7下组态方式及其益处

06 - WinCC 集成在Step7下组态方式及其益处

如何将step7变量自动导入到wincc

一、从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC 与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。 二、在Simatic Manager里建立新的WinCC项目 在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC 项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。 可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中 按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。 B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如: d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。 注意: 对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。 四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC 项目文件。 具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗 如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或

WinCC-STEP7仿真

WinCC-STEP7仿真 一、描述 通常我们做项目的过程中有PLC编程(STEP7平台),上位组态监控(WinCC),WinCC与PLC通过以太网方式通讯实现监控;项目编程工作完成后,为了安全起见,我们通常会通过仿真的方式测试程序,检查错误,本文介绍仿真建立的过程; 二、材料 WinCC v7.5,STEP7 v5.6,S7-PLCSIM V5.4+SP8 三、STEP7仿真步骤 在Step7中打开项目如图1所示,点击选项(Options)显示下拉窗口,选中“设置PG/PC接口”进入图2; 图1 选择PLCSIM.TCPIP.1 以太网方式通讯,点击确定; 返回Step7如图3所示,打开仿真器如图4所示; 在图4中可看到PLCSIM(TCP/IP)协议,对应PG/PC接口;

图2 图3 图4

PLC处于STOP模式见图4,如图5所示下载程序到仿真器(全部内容); 打开OB1如图7,点击在线如图8所示,且在状态栏可知PLC状态为STOP; 图5 图6

图7 图8

打开仿真器,将PLC状态调整为RUN-P如图9,至此STEP7仿真成功; 图9 图10

四、WinCC仿真步骤 打开WinCC项目如图10所示,点击“变量管理”->“SIMATIC”-> “TCP/IP”-> “系统参数” 在“系统参数-TCP/IP”->“逻辑设备名称”设置为PLCSIM.TCPIP.1 图11 在“变量管理”->“SIMATIC”-> “TCP/IP”-> “PLC1”中右击连接参数-TCPIP 如图12所示,在IP地址栏需要输入PLC的IP地址; 如何查看PLC的IP地址: 在STEP7中选择图13中hardware,进入图14,点击硬件CP443-1的属性即可查看PLC的IP地址; 注:WinCC修改变量管理中的通讯参数之后再重新启动WinCC

世纪星工控组态软件简明教程

第三章如何改进您的程序 1 Windows98/2000/NT 北京世纪长秋科技有限公司

前言 在工业自动化控制技术快速发展的今天,要求每一位科技、生产和管理人员必须掌握计算机操作的基本技能,特别是使用工业自动化控制软件的技术。但是,时常有些操作者在学习了某些工业自动化控制软件后仍感到无法得到满意的效果。究其原因主要是无法将所阅读的大量文字说明和实际的操作对应起来,以致于无法融会贯通真正地领会到软件的奥妙所在。 《简明教程》旨在让您能通过图文并茂的指导,10-15分钟就能用这个软件编写一个简单的应用实例。为了方便操作者学习,本册在不断地掌握世纪星组态软件的道路上为您指明了方向,给出了明确的解释和答案。这样无论您是初学者,还是有些基础但仍没有头绪的操作者,都会很快地学会使用世纪星工业监控组态软件。本册共分为三章:第一章介绍了世纪星工业监控组态软件系统的使用基础,重点对开发系统进行了描述,为进一步的学习使用奠定了基础;第二章具体阐述了如何使用世纪星工业监控组态软件,通过实例引导您完成意想不到的“伟大工程”。相信您在理解了这章知识的基础上,一定可以轻松地获取丰硕的成果并为此感到万分的欣慰;当您学会了使用世纪星工业监控组态软件基本知识后,您可能会考虑到如何去完善这项工程,第三章则是帮助您改进您的程序,更是全心全意为方便您的学习和提高而设置的。 《简明教程》言简意赅,通俗易懂,带您迅速入门,当您仔细阅读并跟随“向导”完成整个学习过程以后,必然能灵活运用,受益匪浅!

目录 前言 (2) 目录 (3) 第一章 世纪星工业监控组态软件系统使用基础 (4) 1.1 什么叫工业监控组态软件 (4) 1.1.1 为什么使用工业监控组态软件 (4) 1.1.2 这本书告诉您什么 (5) 1.2 系统组成 (5) 1.2.1 世纪星工业监控组态软件——开发系统描述 (6) 1.2.2 世纪星工业监控组态软件——运行系统描述 (10) 第二章 使用世纪星工业监控组态软件系统 (11) 2.1 第一次使用 (11) 2.2 开发自己的工程 (13) 2.3 如何创建一个工程 (13) 2.4 工程实用化 (15) 2.4.1 显示连接到变量 (19) 2.4.2 变更模拟演示——命名语言 (23) 2.4.3 实际应用——设备安装向导 (25) 第三章如何改进您的程序 (29)

WINCC与STEP7的通信

WinCC与Step7-300通讯 步骤如下: 1、用一根普通网线连接计算机和以太网模块。 2、启动Step 7 ,点击“选项---->设置PC/PG接口”,将通讯接口设置为ISO的那个,指向你正在使用的网卡。 3、点击“PLC--->编辑以太网节点”,输入以太网模块上的MAC 地址,以及你需要分配的IP地址,子网掩码,最后点击“分配IP组态”,IP分配完成。 4、点击“选项---->设置PC/PG接口”,将通讯接口设置成TCP/IP。 5、在PLC硬件组态中,将以太网IP地址设置成你刚才分配的那个IP。 6、现在你可以正常通过以太网下载程序了。 如果mmc卡是空的或者卡里面的硬件配置程序跟现有硬件一致,可以用mac地址下载。否则,必须先清空mmc卡或者先用mpi下载 ?三菱PLC输出指示灯安装于各自的输出模块上,PLC输出指示灯用于指示PLC输出信号的状态。当输出指示灯不亮时,检查、确定故障原因。 ?当利用编程器检查,确认PLC输出已经为“1”,且更换模块后PLC输出可以正常输出时,如对应的指示灯还是不亮,在检查输出模块安装、连接正常的基础上,可以 确认故障是PLC输出模块或对应的输出点本身不良引起的。输出模块、输出点本身 不良可能的原因有: ? 1.采用汇点输出(无源)时,可能PLC输出接口电路损坏;

? 2.采用源输出(有源)时,因输出负载过重、短路引起了三菱PLC内部电源电压的降低、保护; ? 3.当故障发生在扩展单元时,可能是基本单元与扩展单元间的连接不良; ? 4.三菱PLC输出接口电路损坏等。测量三菱PLC输出电压、检查模块安装与连接,在确认正确后,应更换输出模块或进行输出模块的维修与处理。 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

WINCC与STEP7模拟通信设置

WinCC与Step7-300通讯 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建一个 项目名为zx的SIMATIC 300 Station。如图4-1所示。

最新欧姆龙PLC编程软件CX-Programmer和触摸屏组态软件CX-Designe联机仿真图文教程

欧姆龙PLC和HMI触摸屏仿真软件安装使用 这是一个PLC和HMI触摸屏联机仿真实例 首先我们了解一下PLC仿真技术是基于组态软件的仿真系统实现的原理,在于PLC内部各种继电器的状态与组态软件数据库中数据的链接以及该数据与计算机界面上图形对象的链接。因PLC控制系统实际输出控制时,是通过输出继电器Y和输出模块去驱动外部执行机构的.外界的控制信号和反馈信号通过输入继电器X进入PLC内部。而在仿真运行状态时PLC的输出模块与外界是断开的,输出(继电器Y的)信号通过通信线只与组态软件数据库中的数据进行交换,而这些数据又与屏幕(界面)上显示的图形对象有关联。PLC仿真技术伴随计算机应用技术的发展而来.是对工业生产系统进行分析、诊断和优化的有力工具之一。有效的设计控制仿真系统,应用于各类实践。可以起到投资少、效果好、效率高的作用。仿真系统可以基本真实贴近现场实际控制。

现在工业中PLC和触摸屏应用越来越广泛,仿真技术也给我们带来极大便利。如果调试,只要我们有一台笔记本电脑躺在床上就可以检验我们设计的程序了。不受硬件和地点限制。如果是自学不可能买上一大堆的这些东西。工控产品价格都是不菲的,我们用仿真技术这是最好选择。 首先我们要知道一件事,CX-Programmer4.0以前版本,基本上不是用模拟器的,从6.1开始,CX-Programmer ,CX-Simulator,CX-Designer等软件集成在CX-ONE里了。所以好多朋友找不到单独版本高一的配CX-Programmer仿真软件。就是找到了也仿真不起来。集成的软件就没有这些问题了。 C X-ONE里包含欧姆龙全系列软件。 最新CX-ONE4.27(支持win7 32/64位)下载地址:(有大量高清视频教程) 我们现把CX-ONE4.27下载下来解压。如果是ISO光盘镜像可以用VDM虚拟光驱加载。就相当于用光盘安装了。避免不必要麻烦。

STEP7_v5.4与Wincc_Flexible2008的集成与仿真

STEP 7 v5.4 与 WinCC Flexible 2008的集成与仿真 By Herowuking,2009-8-31 1. 软件环境 STEP7 v5.4 SP4 Wincc Flexible 2008 说明: 网上有人说先安装STEP7 后安装WinCC Flexible就可以 把Wincc Flexible集成到 STEP7里面去,但是我安装完 STEP7 5.4之后安装了Wincc Flexible 2008,虽然可以在STEP7里面插入HMI站点,插入之后却不能够在STEP7里面编辑这个Wincc Flexible项目。所以,我采用的是另外一种方法。 2. 项目集成 STEP1.新建STEP7项目,编写好符号表和代码之后保存; 符号表(编写好之后在WINCC FLEXIBLE中建立变量很轻松了) 我这里编了一个最简单的启停控制做演示

STEP2.新建一个WINCC FLEXIBLE 项目; 【项目】→ 【新建…】→这里我选择了TP270 10”→保存项目; 可以保存到和STEP7相同的目录下,也可以保存在别的地方。这里我放在了STEP7项目目录下新建的一个文件夹中。 执行项目集成到STEP7里面去 【项目】→【在STEP7中集成…】→选择STEP1中新建的项目; 这个时候你再用STEP7打开原来的项目发现下面多出了一个HMI 站点; 这个时候,如果同时开着Wincc Flexible 2008,双击“变量”可以自动打开变量编辑界面。但是如果这个时候Wincc Flexible 没有打开,就会一闪下面的画面,而没有任何其他反应。估计还是STEP7 v5.4SP4和Wincc Flexible 2008集成的不够好吧。 暂且不管这软件上的问题,下一步开始执行STEP7和Wincc Flexible 的连接,实现Step 7的PLCSIM 仿真和Flexible 的仿真通讯。 注意:Wincc Flexible 2008打了SP1以及HotFix5 for SP1的补丁之后,不存在上述问题了。

如何把一个已经存在的 WinCC 项目集成到一个 STEP 7 项目中

返回列表 WinCC --

关于“装载和调试程序”的信息 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 多重项目“Multiproject”功能对大型网络化的项目有哪些优势? 数据块初始值与实际值的含义 为什么项目、程序块或硬件组态根本无法打开,或者打开时出现错误信息,或是显示“只读”? WinCC -- 通信组态和编程-- S7通信(无PROFIBUS) 如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? WinCC V5.0中可用插槽PLC吗? 使用MPI (CP5611)建立的WinCC与S7 之间的在线连接有哪些要求? 使用WinCC通过TCP/IP 通信需要哪种许可证? WinCC 访问S7-200 可使用哪些选项? 如何通过调制解调器建立到S7 的链接? 如何将WinCC 链接到S7 PLCSIM? 通过TS 适配器做WinCC 与S7 控制器的通讯,需要做哪些设置? 若要通过CP343-1 与S7 通讯,WinCC 系统需要哪些硬件和软件? 通过CP5611、CP5613 或CP1613,使用WinCC 和SIMATIC NET 访问S7 CPU 时,需要进行哪些设置?如何把一个已经存在的WinCC 项目集成到一个STEP 7 项目中? 显示订货号 配置说明

为了把一个 WinCC 项目导入一个STEP 7 项目中,必须安装下面的WinCC 组件:?SIMATIC Device Drivers ?Object Manager ?AS-OS Engineering 这些组件存在于WinCC 安装光盘中(图.01)。并且,WinCC 和STEP 7 必须安装在同一台计算机上。可以使用已经存在的归档WinCC 项目的压缩工具(packer)来把WinCC 项目导入到STEP 7项目中。 图. 01 注意 关于在不同版本的WinCC 中安装附加组件(以"Smart Tools"为例)的更多信息可以在条目:10880206中找到。 说明

力控组态入门教程

力控组态入门教程 一、关于力控 力控是北京三维力控科技有限公司“管控一体化解决之道”产品线的总称,由监控组态软件、“软”控制策略软件、实时数据库及其管理系统、Web门户工具等产品组成。这些产品不是孤立的,力控是一个应用规模可以自由伸缩的体系结构,整个力控系统及其各个产品都是由一些组件程序按照一定的方式组合而成的。因此本指南没有专门针对具体的产品分别介绍使用方法,而是介绍所有产品的共同使用方法。 在力控中,实时数据库RTDB是全部产品数据的核心,分布式网络应用是力控的最大特点。 在力控中,所有应用(例如趋势、报警等)对远程数据的引用方法都和引用本地数据完全相同,这是力控分布式特点的主要表现。 二、力控®产品发展史1994年12月,基于16位Windows平台(以Windows3.1

为代表)的力控®版本形成。 1996年09月,基于32位Windows平台(以Windows95为代表)的力控®1.0形成。并注册了力控®商标,成为国内率先拥有自主知识产权的自动化软件品牌。 1999年06月,力控®1.2版本推出,在石油、石化等行业广泛应用。 2000年10月,力控®升级为2.0版本正式推向市场 2000年06月,被国家五部委确定为国家重点新产品 2001年06月,正式推出基于PC的控制策略生成器 2001年08月,《监控组态软件及其应用》一书正式出版 2001年12月,力控®英文版forcecontrol正式推出 2002年03月,力控®2.6版本正式推向市场 2004年10月,力控®3.6版本获科技部中小企业基金扶持项目立项 三、关于力控®PCAuto?组态生成的数据文件及应用目录说明 应用路径\doc,存放画面组态数据。 应用路径\logic,存放控制策略组态数据。 应用路径\http,存放要在Web上发布的画面及有关数据。 应用路径\sql,存放组态的SQL连接信息。 应用路径\recipe,存放配方组态数据。 应用路径\sys,存放所有脚本动作、中间变量、系统配置信息。 应用路径\db,存放数据库组态信息,包括点名列表、报警和趋势的组态信息、数据连接信息等。 应用路径\menu,存放自定义菜单组态数据。 应用路径\bmp,存放应用中使用的.bmp、.jpg、.gif等图片。 应用路径\db\dat,存放历史数据文件。 第二章力控产品功能 2.1概述: 从1993年至今,力控®监控组态软件为国家经济建设做出了应有贡献,在石油、石化、化工、国防、铁路(含城铁或地铁)、冶金、煤矿、配电、发电、制药、热网、电信、能源管理、水利、公路交通(含隧道)、机电制造等行业均有力控软件的成功力控;监控组态软件已经成为民族工业软件的一棵璀璨明星。 一直以来,北京三维力控始终有预见性地开发具有潜在应用价值的功能模块,同时认真评估用户反馈建议来改进力控®产品,使用户得到超值回报,与客户的互动合作将促进了北京三维力控的发展。力控®监控组态软件的分布式的结构保证了发挥系统最大的效率。 力控®软件以计算机为基本工具,为实施数据采集、过程监控、生产控制提供了基础平台,它可以和检测、控制设备构成任意复杂的监控系统。在过程监控中发挥了核心作用,可以帮助企业消除信息孤岛,降低运作成本,提高生产效率,加快市场反应速度。 在今天,企业管理者已经不再满足于在办公室内直接监控工业现场,基于网络浏览器的Web方式正在成为远程监控的主流,作为国产软件中国内最大规模SCADA系统的WWW 网络应用的软件,力控®为满足企业的管控一体化需求提供了完整、可靠的解决方案。 2.2 软件构成: 力控®软件包括:工程管理器、人机界面VIEW、实时数据库DB、I/O驱动程序、控制策略生成器以及各种网络服务组件等。它们可以构成如下的网络系统

WINCC与STEP7地通信

WinCC与Step7-300通讯 本章通过一个实际例子讲述WinCC与S7-300 PLC之间通过Ethernet的通讯方法。下面列举了一些在通讯中常用的术语。 4.1 通讯术语 (1)通讯 通讯用于描述两个通讯伙伴之间的数据交换。 (2)通讯伙伴 通讯伙伴是指可以相互进行通讯的模块,也可是说它们互相交换数据。它们可以是PLC中的中央处理器板和通讯处理器,也可以是PC中的通讯处理器。(3)通讯驱动程序 在WinCC中通讯驱动程序也指通道。它是一个软件组织,可在自动化系统和WinCC中的变量管理器之间设置连接,以便能向WinCC变量提供过程值。在WinCC 中有很多通讯驱动程序,可通过不同的总线系统连接不同的自动化系统。(4)通道单元 通道单元指的是一种网络或连接类型。每个使用的通道单元必须分配给相关的通讯处理器。一些通道单元需要附加系统的组态。 (5)连接 连接是两个通讯伙伴组态的逻辑分配,用于执行已定意的通讯服务。每个连接有两个端点,它们包含对通讯伙伴进行寻址所必需的信息,以及用于建立连接的附件属性。 一旦WinCC与自动化建立正确的物理连接,就需要WinCC的通讯驱动程序和相关的通道单元来建立或组态与自动化系统间的逻辑连接。每个通道单元下可有多个连接。 4.2 建立WinCC与PLC间通讯的步骤 ●创建WinCC站与自动化系统之间的物理连接。 ●在WinCC项目中添加适当的通道驱动程序。 ●在通道驱动程序适当的通道单元下建立与制订通讯伙伴的连接。 ●在连接下建立变量。 WinCC变量管理器管理运行时的WinCC变量。它的任务是从过程中取出请求的变量值。 4.3 创建一个S7-300 PLC的项目 要实现WinCC与PLC间的通讯,首先要在PLC创建一个项目。 ●打开SIMATIC Manager,在File菜单下单击“New Project Wizard”,创建 一个项目名为zx的SIMATIC 300 Station。如图4-1所示。

在STEP里面创建WINCC项目完整版

在S T E P里面创建W I N C C项目集团标准化办公室:[VV986T-J682P28-JP266L8-68PNN]

一、从WinCC里调用STEP 7 变量的前提条件从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率建设一半,从而减少了相应的排错工作,大大提高了工作效率。从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\。要使用WinCC与STEP 7的集成功能,WinCC 和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须一致。WinCC与STEP 7的版本兼容行列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,在communitation 下的所有选项都必须钩选。二、在Simatic Manager里建立新的WinCC 项目在建立新的STEP 7项目后,可以在Simatic Manager里直接建立新的WinCC项目。方法是在Simatic Manager里,右键点击项目名称,选择“Insert New Object\OS”。此时系统会在STEP 7 项目文件里建立一个WinCC项目文件你可以在OS站上点击右键,选择“Re name”来修改WinCC项目名称。可以通过在名称上点击右键,选择“Open Object”打开并编辑这个WinCC项目 三、把现成的WinCC项目集成到STEP 7 项目中按如下步骤把已有WinCC项目文件插入STEP 7项目文件 A. 在STEP 7项目文件中插入一个OS站,然后把它改名为已有的WinCC项目名称。B. 在STEP 7项目里删除因仅插入OS站而产生的WinCC项目文件,其位置在STEP 7 项目文件夹里的wincproj文件夹下,例如:d:\siemens\STEP7\S7proj\STEP7_Integration\wincproj\Name of the OS C. 最后在项目复制器里用’Save as’把已有的WinCC项目文件存储到STEP 7项目路径下。项目复制器位于开始菜单项"Start > SIMATIC > WinCC > Tools"。注意:对于集成STEP 7项目里的WinCC项目,你也可以使用压缩工具(Packer)来归档WinCC项目。四、把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 STEP项目文件里的符号表,共享DB里的变量可以一次性的传入继承的WinCC项目文件。具体步骤如下: A、为需要传输的变量打上“传输标志” 在需要传输的变量上点击右键,从关联菜单中选择“Special Object Properties \ Operator Control and Monitoring…”,打开Operator Control and Monitoring对话框,激活复选框“Operator Control and Monitoring”,并点击“OK”关闭对话框。此时该变量前将显示绿色小旗如需对成批变量进行该操作,可以使用“Shift+鼠标左键”进行批选择,或者使用“Ctrl +鼠标左键”对成批选择的变量进行个别增减。为共享DB的变量做注释在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框,在对话框第一行的Attribute 中输入 S7_m_c ,Value 为ture,并点击“OK”键退出。此时被选中变量前被用红色小旗标志. 为背景DB的变量做注释打开相应的FB,在需要传输的变量上点击右键,从关联菜单中选择“Object Properties”,打开Properties 对话框在Attribute页第一行输入 S7_m_c ,Value 为ture并点击“OK”键退出开启共享和背景DB的操作和监视功能 DB里的变量被标志后,必须启动DB的“Operater control and monitor”功能才能启动变量传输。 在simatic maneger 窗口中右击所需传送变量的DB,在弹出的菜单中选择 special object- >operetor control and monitoring,在接下来的对话框中将Operater control and monitor复选框钩上。选中该复选框后,“WinCC Attributes”页被显示,其中列举了所有被标准过的变量。 B、将被标志的变量传送到WinCC项目文件中在SIMATIC Manager的菜单中选中选项“Options\OS\Compling…”在弹出的对话框中直接点next 直到开始编译。编译完成后,弹出integration2对话框,选择第一项,并用“OK”键确认。编译完成后系统会提示编译是否成功,如果失败会弹出相应的记录文件。编译成功后,打开WinCC项目文件。可以看到,系统已经在变量管理器里自动生成了相应的WinCC变量。在WinCC里需要变量引用的位置进行变量选择,出现变量选择对话框后,选中对话框右上角的“STEP 7 Symbol Server”复选框。此时,对话框右侧变量选择列表中将显示“STEP 7 Symbol Server”列表。从改列表中可以显示STEP 7符号表和共享数据块里的所有变量

plc编程资料

PLC编程资料、PLC编程软件 随着现代工业设备自动化,越来越多的工厂设备将采用PLC、变频器、人机介面等自动化器件来控制,因此设备自动化程度越来越高。对设备的维护人员的技术要求越来越严格。作为一名合格的技术员,需要掌握的技能也越来越多,越来越全面性,以此来满足自动化的发展及要求,因此设备相关的资料及软件,对我们技术员来说是必需具备的,为了满足大家的要求。经过多年的积累,整理出四种最常用的三菱、OMRON 、AB、及西门子公司的相关资料及软件,特价向大家提供,详细软件资料清单见下面。 A盘:包含:西门子新版LOGOV4.0控制器编程软件,LOGO新版使用手册大全。新版S7-200PLC中文版编程软件,s7_200_sim模拟软件汉化版,S7-200的中文系统手册大全。S7-200上位机软件PC_Access_V10。OP、TP系列中文版编程软件及编程手册大全,WINCC中文版编程手册,Protool中文手册,西门子STEP5教程中文版(NEW),PG702编程器操作手册,所有西门子公司自动化设备相关的中文资料,S7-200与S7-300的应用论文集,S7-200的应用实例(中文注释),S7-400.S7-300梯形图(LAD) 中文版编程手册等。(共650M,详细清单见A盘说明) B盘:包含:三菱FX、A、Q系列GX-Developer V7.08中文版PLC

编程软件及中文使用手册。三菱FX20GM位置控制器编程软件、三菱PLC程序调试离线仿真软件GX-Simulator6中文版、三菱PLCFX 最新FXGPWINV330(中文版),三菱FX系列仿真软件LTT-C简体中文版、三菱PLC可编程控制器教材、FX2N、FX2NC、FX1N、FXNS、FX0N、FX0S系列中文编程手册大全,FX随机手册及模块手册大全,FX-10P、20P编程器中文使用说明,三菱FR系列变频器使用手册大全。(共650M,详细清单见B盘说明) C盘:包含:OMRON-SSS中文版PLC编程软件,OMRON全系列中文版编程软件CX-P V3.2、Cx-simulator离线仿真软件,人机介面NT系列设计软件,SysWin34OMRON最新开发的智能控制器的编程仿真软件,最新CS1-CJ1-CJ1M系列PLC中文版操作手册、指令参考手册、编程手册大全、高速计数器、操位置控制、通信单元、温度控制等模块中文手册大全,CX-P中文版软件手册,OMRON PLC培训教材(编程器SSS使用、CPMIA基础及CPMIA系统)。可编程控制器CQM1H中文编程手册等相关资料,。(共650M,详细清单见C盘说明) D盘:包含:西门子最新S7-400编程软件SETP7 V5.2(不含授权),S7_PLCSIM V5.2PLC离线仿真软件,S7_SCL V5.2高级编程软件, S7_GRAPH图形编程软件, 新版的S7-200编程软件。含百事可乐公司生产线PLC程序,辉瑞制药公司生产线PLC程序(两组),吉百利

MCGS组态软件教程

MCGS组态软件教程

初级教材 前言 随着计算机技术和网络技术的飞速发展,为工业自动化开辟了广阔的发展空间,用户可以方便快捷地组建优质高效的监控系统,并且通过采用远程监控及诊断、双机热备等先进技术,使系统更加安全可靠,在这方面,MCGS工控组态软件将为您提供强有力的软件支持。MCGS全中文工业自动化控制组态软件(以下简称MCGS工控组态软件或MCGS)为用户建立全新的过程控制系统提供了一整套解决方案。MCGS工控组态软件是一套32位工控组态软件,可稳定运行于Windows95/98/NT/2000/Me操作系统,集动画显示、流程控制、数据采集、设备控制与输出、网络数据传输、双机热备、工程报表、历史数据与曲线等诸多强大功能于一身,并支持国内外众多数据采集与输出设备,广泛应用于石油、

电力、化工、钢铁、矿山、冶金、机械、纺织、航天、建筑、材料、制冷、交通、通讯、食品、制造与加工业、水处理、环保、智能楼宇、实验室等多种工程领域。本书以一个工程实例对MCGS工控组态软件的特点与功能进行综合性描述。希望您能通过本书掌握MCGS工控组态软件的基本操作与用法,并引导您完成一个简单的工程组态工作。 如果本书中的内容有纰漏之处,我们谨向您表示诚挚的歉意,并希望您能与我们联系,促使我们及时更正,更好地为您服务。在此,我们感谢您对我们工作的支持与信任。希望您能喜欢我们的软件,它一定会成为您成功的助手。您的成功是我们最大的满足。

简介 培训目的通过一个工程实例使您熟悉 MCGS工控组态软件的基本用 法与功能,并按照完成工程的 一般过程讲述工程实例,使您 了解如何通过MCGS工控组态 软件完成工程项目。 培训内容动画显示,编制控制策略,输出实时曲线、历史曲线、实时数据、历 史数据,报警显示,操作菜单设计, 模拟设备连接,工程密码设置,运行 测试 参考手册《MCGS用户指南》 《MCGS参考手册》 MCGS多媒体教程 MCGS工控组态软件联机帮助

wincc调用PLC变量

从WinCC里调用STEP7的变量WinCC get the variables in the STEP7

摘要 从WinCC里调用STEP7中的变量,该变量可以是符号表,DB块,FB块参数变量等。 关键词 变量表,DB块,WinCC,STEP7,集成 Key Words Symbols, DB block, WinCC, STEP7, Integrated A&D Service & Support Page 2-20

目录 从WinCC里调用STEP7的变量 (1) 1.从WinCC里调用STEP 7 变量的前提条件 (4) 2.在Simatic Manager里建立新的WinCC项目 (5) 3.把现成的WinCC项目集成到STEP 7 项目中 (6) 4.把变量(符号表,共享DB,背景DB)从STEP 7传送到WinCC里 (7) 5.在WinCC里直接调用STEP 7符号表或DB块里的变量 (17) A&D Service & Support Page 3-20

该文档的软件环境: Windows XP Professional SP1 English WinCC V6.0 SP2 HF2 Europe STEP 7 V5.3 SP1 1.从WinCC里调用STEP 7 变量的前提条件 从WinCC里调用STEP 7 变量可以将建立变量的工作量减少一半,同时将建立变量的出错概率减少一半,从而减少了相应的排错工作,大大提高了工作效率。 从WinCC里调用STEP 7 变量的前提条件是,WinCC的项目文件必须是集成在STEP 7项目中的。 在安装所有Simatic 软件前,请查阅软件的安装注意事项,确定操作系统与软件的兼容性。该文档一般位于:CD\Documents\<语言版本>\InstallNotes.chm。 要使用WinCC与STEP 7的集成功能,WinCC和STEP 7必须安装在同一台计算机上,必须在安装WinCC之前安装STEP 7。STEP 7 与WinCC的版本必须兼容。WinCC与STEP 7的版本兼容性列表可以在西门子自动化与驱动集团的技术支持与服务网站上获得。 请STEP 7安装完毕后,进行WinCC安装。在WinCC安装过程中,请选择“自定义安装”,并且选中下图中红框标识的选件: 图 1. 与集成相关的WinCC组件 A&D Service & Support Page 4-20

基于Step7和WinccFlexible联合仿真教程

基于Step7和WinccFlexible联合仿真教程目录 0 项目要求:..................................................................... .............................................. 2 1 项目分析与规 划: .................................................................... .................................... 2 2 系统IO口分配:..................................................................... ..................................... 2 3 系统接线原理 图: .................................................................... .................................... 2 4 系统控制方式规划:..................................................................... .. (2) 5 系统硬件选择与组态...................................................................... . (3) 6 PLC程序设计...................................................................... ........................................ 19 7 触摸屏通讯设置、画面设计与变量控制....................................................................... 25 8 项目仿真测 试 .....................................................................

wincc与step7仿真通讯设置

wincc与step7仿真通讯设 置 liudaxian2000 |2018-06-30 | 2.1分(高于81.78%的文档)|4235|142 |简介|举报手机打开 共享文档 一、MPI网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(MPI),WINCC选MPI (Wincc)――>PLCSIM(MPI)。 2、先在STEP7V5·4软件编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项, 点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序, 选····S7···的,然后在MPI新建连接,在MPI上点击右键――>系统参数――>单元――>在逻辑设备项选择“PLCSIM(MPI)”。 6、新建变量并编辑画面,然后保存运行。 二、TCP/IP网的仿真 1、PC/PG端口选择:STEP7选PLCSIM(RFC 1006),WINCC 选CP_I2_1:――>PLCSIM(RFC 1006)。

2、先在STEP7V5·4软件组态硬件(要有CP343-1并填入IP地址)并编好控制程序。 3、打开S7-PLCSIMV5·4软件,在出来的窗口中勾下面的那个选项,点第二个选项,选择打开STEP7V5·4程序的项目。 4、下载程序并运行。 5、打开WINCC V6.0并新建项目,在变量管理添加新的驱动程序,选····S7···的,然后在TCP/IP新建连接(记得填入STEP7组态时的IP 地址),更改插槽号为2。在TCP/IP上点击右键――>系统参数――>单元――>在逻辑设备项选择“CP_I2_1:”。 6、新建变量并编辑画面,然后保存运行。 三、PROFIBUS网的仿真 1、PG/PC接口选择:STEP7选择S7ONINE(STEP7)――>PLCSIM (PROFIBUS),WINCC选CP_I2_1:――>PLCSIM(PROFIBUS)。 2、WINCC中在PROFIBUS新建连接,然后进入PROFIBUS的系统参数――>单元――>逻辑设备名称选:PLCSIM(PROFIBUS)。 3、其它和MPI及TCP/IP的仿真一样。 *PLCSIM 版本5.4

相关主题
文本预览
相关文档 最新文档