当前位置:文档之家› 数电课程四花样彩灯设计

数电课程四花样彩灯设计

数电课程四花样彩灯设计
数电课程四花样彩灯设计

郑州科技学院

《数字电子技术》课程设计

题目四花样彩灯控制器

学生姓名顾梦晓

专业班级通信工程2班

学号201151046

院(系)信息工程学院

指导教师邓国辉

完成时间2013年11月25日

目录

1 设计要求 (4)

1.1设计课题及要求 (4)

2 系统总成及工作原理 (4)

2.1系统组成框图 (4)

2.2工作原理分析 (5)

3 电路方案设计 (6)

3.1电路图设计 (6)

4 单元电路设计与计算 (7)

4.1时钟脉冲产生电路 (7)

4.2四种码产生电路 (8)

4.3彩灯开关电路 (10)

4.4花样输出电路 (11)

5 安装调试 (12)

5.1电路板安装及检查 (12)

5.2调试 (13)

5.3故障及分析 (13)

6 实验小结 (13)

1 设计要求

1.1 设计课题及要求

(一)题目:四花样彩灯控制器

(二)基本要求:设计一四花样自动切换的彩灯控制器,要求实现

(1) 彩灯一亮一灭,从左向右移动;

(2) 彩灯两亮两灭,从左向右移动;

(3) 四亮四灭,从左向右移动

(4) 从1~8从左到右逐次点亮,然后逐次熄灭;

(5) 四种花样自动变换。

(三)主要参考元器:

555定时器,模十六计数器74LS161,双D触发器74LS74,与门74LS08,非门74LS04,四选一数据选择器74LS153,八位移位寄存器74LS164。

2 系统总成及工作原理

2.1 系统组成框图

把四花样彩灯设计分为几个独立的功能模块进行设计,每一个模块完成特定的功能,再把它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。设计框图如图2.1所示:

图2-1

2.2 工作原理分析

从多谐振荡器出来的脉冲信号分为两路:一路作为计数脉冲送到模十六计数器;另一路做为移位时钟脉冲加到移位寄存器。调节多谐振荡器的电阻可以改变震荡频率,即改变彩灯移动的速度,得到不同的动态效果。

多谐振荡器、双D触发器、数据选择器共同组成一个电子开关。多谐振荡器输出的计数脉冲经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态。这四个状态作为数据选择器的四个数据通道选择信号,对应从模十六计数器输送到数据选择器的QA,QB,QC,QD四个分频信号。其作用相当于一个受控的一刀四位的机械转换开关。当双D触发器输出为“00”时,数据选择器输出10101010序列脉冲,为八分频信号,实现花样一;为“10” 时,数据选择器输出11001100序列脉冲,为八分频信号,实现花样二;为“01” 时数据选择器输出11110000序列脉冲,为八分频信号,实现花样三;为“11” 时数据选择器输出1111111100000000序列脉冲,为十六分频信号,实现花样四。调节开关电路的CP脉冲产生电路的电阻,可以改变开关的切换时间用以选择每种花样出现时间的长短。

数据选择器的输出端接移位寄存器的输入端,在时钟脉冲的作用下,数据在

移位寄存器的八位并行输出端从Q0到Q7顺序移动。移动的八位控制信号直接控制发光二极管的亮灭,就出现了八路四花样自动循环切换的流水彩灯。

3 电路方案设计

3.1 电路图设计

将各单元电路组织起来就得到了系统电路图,如图所示:

图3-1

本电路图设计简单、结构清晰,可分为四种码产生电路、开关电路、输出电路和时钟电路这四个模块。四种码产生电路由模十六计数器和组合逻辑门构成,开关电路由双D触发器和数据选择器构成,输出电路由移位寄存器和彩灯构成,时钟电路由两个555构成。

通过改变多谐振荡器的电阻可改变彩灯流动的速度和各花样持续的时间,实现了彩灯花样的动态变化,增强了控制器的灵活性。

4 单元电路设计与计算

4.1 时钟脉冲产生电路

用555定时器构成多谐振荡器,电路输出便得到一个周期性的矩形脉冲,其周期为:

T=0.7(R 1+2R 2)C (4-1)

要用两个555产生两个时钟脉冲,一个控制74LS161模十六计数器和八位移位寄存器,要能看到彩灯的流动,其周期设为1秒左右, 电阻值和电容值可设为:

R 1=1KΩ , R 2=51KΩ , C=0.01μF 由公式(4-1)计算得:T=0.721S 电路图如图4.1所示:

图4.1 时钟电路

5_VIRTUA L

另一个555产生的矩形脉冲控制彩灯的自动转换,其周期设为模十六计数器的50倍,改变R1、R2的阻值即可,可设为:

R1=1MΩ ,R2=2MΩ ,C=10μF

由公式(4-1)计算得:T=35S

4.2 四种码产生电路

根据彩灯要实现的四花样,可确定移位寄存器输出的二进制码,即四种码产生电路要产生的码,如表4-1所示:

表4-1四种码

要产生这四种码,可由十六进制计数器接组合逻辑门产生,十六进制计数器的真值表如表4-2所示:

表4-2

由表4-2分析得:

Z1=Q11

Z2=Q2

Z3=Q3

Z4=Q4

所以四种码产生电路如图4.3所示:

图4.3 四种码产生电路

4.3 彩灯开关电路

要实现彩灯四花样的自动转换,就要使四选一数据选择器74LS153循环地输出Z1、Z2、Z3、Z4。使双D触发器的输出端接数据选择器的两个地址输入端,双D触发器能产生00、01、10、11这四钟循环的状态,从而使选择器循环的选择一种码输出,实现彩灯的四花样循环。

开关电路图如图4-4所示:

图4-4 开关电路

令D1D0=BA,74LS153数据选择器的功能表如表4-5所示:Array表4-5 数据选择器功能表

由表4-3可知,数据选择器的地址输入端A、B循环转变,输出端1Y循环选择四种码Z1、Z2、Z3、Z4输出,使彩灯的四花样自动循环改变。

4.4 花样输出电路

输出电路由八位移位寄存器74LS164、八个彩灯和八个驱动电阻构成。寄存

器的数据输入端接收开关电路输出的四种码,这四种码在移位寄存器的八位并行

输出端从QA向QH移动,输出四种彩灯花样。

当输入移位寄存器数据输入端的码为10000000时,清零后在移位脉冲CP 的作用下,寄存器数码移动情况如表4-6所示:

表4-6 寄存器数码移动情况表

由表4-6可看出,输入码中的那位高电平“1” 从寄存器的输出端QA经八个移位脉冲CP作用后逐渐到了QH,使输出端所连接的彩灯依次点亮,实现了彩灯依次点亮的花样。当输入另外的三种码时,寄存器的数码移动原理相似,所以就不累赘了。

5 安装调试

5.1电路板安装及检查

彩灯控制器课程设计汇本报告

数字电子技术课程设计报告 专业名称: 班级: 姓名: 学号: 日期:

目录 一、设计要求 (1) 二、设计的作用、目的 (1) 三、设计的具体实现 (1) 1、系统概述 (1) 2、单元电路设计、分析与仿真 (2) 3、电路的安装与调试 (6) 四、心得体会及建议 (7) 五、附录 (7) 六、参考文献 (8)

设计报告 一、设计要求 说明:1.以十个指示灯作为显示器件,能自动的从左到右、从右到左的依次被点亮,如此周而复始,不断循环。 2.打开电源时可自动清零,每个指示灯被点亮的时间约为0.5S—2S 围。 3.用计算机画出电路设计图,进行仿真分析验证其正确性。 4.写设计说明书一份。 二、设计的作用、目的 1、为了培养学生运用相关课程的基础理论和技能解决实际问题,并进一步提高学生抓也基本技能、创新能力的重要实践教学环节。 2、培养学生正确的设计思想与方法、严谨的科学态度和良好的工作作风。 3、通过课程设计,学生受到设计与写作方法的初步训练,能用文字、图形和现代设计写作方法系统地、正确地表达课程设计。 4、巩固、深化和扩展学生的理论知识与初步的专业技能。 5、熟悉各种芯片的功能和应用。 6、完成彩灯控制器的设计,绘出电路原理图。 7、调试电路,是否能正常工作。 理工大学课程设计:彩灯控制器 三、设计的具体实现 1)系统概述

此电路主要由三部分组成,其整体框图如图(一)所示。 振荡电路计数译码驱动电路显示电路 图(一) 2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图二所示。 图(二) (2)计数器/译码分配器 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。

基于multisim的LED循环彩灯课程设计

课程设计报告 题目:基于Multisim的LED 循环彩灯设计与仿真课程名称:电子技术课程设计 学生姓名:程娅 学生学号:1414020205 年级:2014级 专业:电子信息工程 班级:2班 指导教师:王丽 电子工程学院制 2016年5月

基于Multisim的LED循环彩灯设计与仿真 学生:程娅 指导教师:王丽 电子工程学院电子信息工程专业 1 课程设计的任务与要求 1.1 课程设计的任务 (1)综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 (2)深入了解交通灯的工作原理。 (3)锻炼自己的动手能力和实际解决问题的能力。 (4)掌握multisim软件的操作并对设计进行仿真。 (5)通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。 1.2 课程设计的要求 (1)有十只LED,L0 (9) (2)显示方式: ①先奇数灯依次亮; ②再偶数灯依次亮; ③依次循环变化。 (3)显示间隔0.5s,1s可调。 2 LED循环彩灯系统方案制定 2.1 LED循环彩灯电路设计的原理 多谐振荡器是产生矩形脉冲的典型电路,常用来做脉冲信号源。多谢振荡器没有输入端,接通电源便自激振荡。多谢振荡器起振之后,电路没有稳态,只有两个暂稳态,他们交替变化,输出连续的矩形脉冲信号。 用555定时器构成的多谢振荡器,先用555定时器构成施密特触发器,然后将施密特触发器的输出端经RC积分电路接到施密特触发器的输入端。可以通过调节RC的大小来产生所需周期大小的脉冲信号。 2.2 LED循环彩灯电路的设计方案

根据设计要求,可画出此电路的整体框架图,如图1所示。 图1 系统实现流程 3 单元电路设计与分析 3.1 各功能模块的电路设计 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图2所示。 图2 振荡电路

八路循环彩灯控制电路课设

多功能彩灯控制器设计 目录 一、设计任务及要求----------------------------------------------------------------------- 二、设计方案-------------------------------------------------------------------------------- 三、电路元件参数计算-------------------------------------------------------------------- 四、振荡器的使用(555)--------------------------------------------------------------- 五、译码器的选用(74138)------------------------------------------------------------ 六、计数器的选用(73160)------------------------------------------------------------ 七、彩灯的控制----------------------------------------------------------------------------- 八.设计原理电路-------------------------------------------------------------------------- 九.电路仿真波----------------------------------------------------------------------------- 十.元件列表-------------------------------------------------------------------------------- 十一.故障分析与改进------------------------------------------------------------------ 十二.心得体会-----------------------------------------------------------------------------

四路彩灯显示系统设计

课程名称:数字电路逻辑设计 设计项目:四路彩灯显示系统设计专业班级:通信学号: 学生姓名: 同组人姓名: 指导教师:

设计课题:四路彩灯显示系统设计 一、 设计目的 1、 熟悉常用中规模计数器的逻辑功能。 2、 掌握技术、译码电路的工作原理及应用。 3、 熟悉移位寄存器的工作原理、典型应用和调试方法。 二、 设计任务与要求 设计一个四路彩灯控制器,设计要求如下: (1) 接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2) 设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s ,共用4s ; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s ; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也为4s 。 (3)三个节拍完成一个循环,一共需要12s 。一次循环之后重复进行闪烁。 三、设计原理 图(a)四路彩灯控制流程图 四路彩灯即有四路发光二极管输出,设依次为d Q 、c Q 、b Q 、a Q ,若用高电平“1”表示灯亮,低电平“0”表示灯灭,由课程设计要求可知四路彩灯显示系统有如下表所示的输出

显示。 四路彩灯输出显示 说明 输出 所用时间d Q c Q b Q a Q 开机初态0 0 0 0 第一节拍逐次渐亮1 0 0 0 1 1 0 0 1 1 1 0 1 1 1 1 1s 1s 1s 1s 第二节拍逆序渐灭1 1 1 0 1 1 0 0 1 0 0 0 0 0 0 0 1s 1s 1s 1s 第三节拍同时亮0.5s,然后同时灭0.5s,进行四次1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 0.5s 分析可知,要实现上表所示功能,需要一个分频器起节拍产生和控制作用,每4s一个节拍,3个节拍共12s后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。

彩灯课程设计报告材料

《8路彩灯控制电路》 课程设计报告 专业:计算机科学与技术 班级:一班 姓名:XX 学号: 6 同组成员: 指导教师:伟 2015年 6 月23 日

目录 一、课程设计目的 (2) 二、课程设计题目描述和要求 (2) 三、课程设计报告容 (2) 四、总结,设计体会 (9)

一、课程设计目的 1.了解数字电路设计的基本思想和方法 2.进一步掌握数字电路课程所学的理论知识 3.熟悉集中常用集成数字芯片,掌握其工作原理 二、课程设计题目描述和要求 实现彩灯控制要求设计的彩灯路数较少,且花型比较简单,因此采用74LS194移位寄存器和74LS161四进制同步加法计数器以及简单的逻辑器件来控制彩灯电路。 (一)彩灯控制器设计要求 设计制作八路彩灯控制电路,用以控制八个LED彩灯按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯按预设花型闪烁 2.LED灯按照事先设计的方式工作 (二)课程设计总体要求 1.根据设计任务,每组成员共同完成一份设计电路图 2.根据设计的电路图,两人一组利用万能板完成电路焊接,并调试成功 三、课程设计报告容 (一)原理分析 1.电路主要分为三个部分: 第一板块:实现脉冲时钟信号的产生; 第二板块实现花型的控制及节拍控制; 第三板块实现花型的演示。 2.根据实验所提供的器材,我的设计思路如下: ○!时钟信号由一个单脉冲提供; ○2花型控制电路由两片74LS161 四位二进制同步加法计数器和两个非门共同完成; ○3花型演示电路由两片74LS194移位寄存器完成,可以左移右移完成花型变

化。 3.花型控制电路设计: 花型1:8路彩灯分为上下两部分,每部分从下向上依次亮,然后从下向上依次灭,循环两次。 花型2:从两边到中间依次对称地渐亮,全亮后仍由两边到中间逐次灭,循环两次。 花型3:从中间到两边依次对称地渐亮,全亮后仍由中间到两边逐次灭,循环两次。 花型4:8路点灯分为上下两部分,每部分从上向下依次亮,然后从上向下依次灭,循环两次。 4.将两片74LS194分为低位片和高位片,再将其输出端从低位到高位记为L1~L8,所得四种花型的L1~L8状态值变化情况如下表: 四种花型每种显示两边,1~16显示第一个花型,17~32显示第二个花型,33~48显示第三个花型。 5.要用194芯片实现四个花型的连续显示必须对两片194的S1和S0,SL和SR 的每一节拍变化进行相应的改变。两片161的输出端从Q0~Q7根据变化的花型的频率选用高位片的Q4和Q5分别去控制194的S1和S0。它们的SR和SL则有低位161片的Q2经过非门来控制,这样就可以实现对花型变化周期的控制。各花型和其对应的194的S1、S0、SR、SL的输出信号及节拍控制信号列表如下:

课程设计循环彩灯

课程设计说明书 课程名称:数字电子技术 设计题目:循环彩灯 院系:电子信息与电气工程学院 学生姓名:听风 学号:2010020200XX 专业班级:10级电子信息工程(1)班 指导教师: 2012 年5 月25日

课程设计任务书设计题目循环彩灯 学生姓名XX 所在院系电子信 息与电 气工程 学院 专业、年级、班 10级电子信息 工程(1)班 设计要求: 1、设计制作一个循环彩灯电路。 2、彩灯数量为8个,8个彩灯依次闪烁,彩灯亮灯时间为1秒。 3、器件:74LS192、74LS138、74LS00、555定时器各一片,48kΩ ,300 Ω,51kΩ,10uf,0.01uf各一个。 学生应完成的工作: 根据原理进行设计,方案论证,完成循环彩灯的原理图设计及PCB板的制作,手动布线完成PCB板图。然后将修改无误的PCB板图复制,转印,做板。再按照自己设计的电路原理图把电子元器件焊接到电路板上。经调试能正常工作,老师考查合格后,写好设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2] 郑君里、杨为理信号与系统[M]北京:高等教育出版社,2011 [3] 谷树忠、刘文洲、姜航Altium Designer 教程北京:电子工业出版社,2006 [4]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [5]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. 工作计划: 2012年5月14日上午:课程设计说明、软件培训。下午:Multism电路仿真。 2012年5月15日:电路设计与仿真。 2012年5月16日上午:电路设计与仿真。下午:指导教师单独指导。 2012年5月17日:用Altium Designer 10设计原理图。 2012年5月18日:用Altium Designer 10设计PCB。 2012年5月21日上午:循环彩灯制版。下午:循环彩灯安装。 2012年5月22日:指导课程设计报告书写。 2012年5月23日:指导课程设计报告书写。 2012年5月24日:电路调试。 2012年5月25日:设计验收设计报告收交。 任务下达日期:2012 年 5 月14 日 任务完成日期:2012 年 5 月25 日 指导教师(签名):学生(签名):

彩灯双向循环电子技术课程设计实验报告

安徽农业大学经济技术学院 电子技术课程设计报告书 课题名称一种多种波形发生器设计 姓名汪亦嘉 学号150103233 院、系、部机械工程系 专业机械设计制造及其自动化 指导教师李琰 2017年 6月 13 日

一、设计任务及要求: 1、用中规模计数器设计双向流动彩灯控制器。 2、要求彩灯双向流动点亮,其闪烁频率在1~10Hz内可调。 3、要求用555定时器设计时钟脉冲,五路彩灯采用五个发光二极管代替。设计要求 4、双向流动彩灯控制器的直流稳压电源要求自行设计。 5、在选择器件时,应考虑成本。 6、根据技术指标,通过分析计算确定电路和元器件参数。 7、画出电路原理图(元器件标准化,电路图规范化)。 指导教师签名: 2017年6月日二、指导教师评语: 指导教师签名: 2017年6月日

三、成绩 指导教师签名: 2017年6月日

一、设计目的 1、对模拟电子技术的的直流电源中的整流、滤波、稳压等环节加深印象。 2、了解直流电源各部分的结构。 3、加深对于555定时器的内部结构的理解。 4、将74LS161改为十六进制以内的任一进制计数器。 5、Mulsitim 电路仿真软件应用灵活。 二、方案论证 设计一个双向彩灯控制器,控制五路彩灯。 方案一:以555定时器为基础连接成多谐振荡器产生周期在1~10Hz 矩形时钟脉冲,用以启动74LS161计数器。并用74LS161构成八进制加法计数器通过74LS138译码器输出给五个彩灯,实现双向循环。方案一原理框图如图1所示。 图1 双向彩灯控制器方案一的原理框图 方案二:与方案一的第一部分原理相同同样采用555定时器构成多谐振荡器,用来产生1~10Hz 的周期矩形时钟脉冲,启动计数器。第二部分采用四进制加法计数器和四进制减法计数器结合实现循环,第三部分同样采用74LS138译码器输出给五路彩灯,实现循环。方案二原理框图如图2所示。 图2 双向彩灯控制器方案二的原理框图 最终本设计采用的是方案一,只采用一个八进制加法计数器,循环简单方便,仅需一次循环就可实现五路彩灯双向流动。在考虑成本的条件下,节省器件。所以方案一更加合适。 多谐振荡器 八进制加法计数器 译码器 彩灯 多谐振荡器 四进制加法计数器 四进制减法计数器 译码器 彩灯

数字逻辑课设-循环彩灯系统设计

学生课程设计报告书 课程:数字逻辑 课题:循环彩灯系统设计 级计算机信息技术系 专业班 学号: 姓名: 指导教师: 2012—2013学年第 2 学期

循环彩灯系统设计 一、设计目的 1.设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2.综合应用数字逻辑知识设计一个循环彩灯系统。了解各种元器件的原理及其应用。 3.掌握multisim软件的操作并对设计进行仿真。 4.锻炼自己的动手能力和实际解决问题的能力。 二、设计任务与要求 1、设计任务 设计一循环彩灯控制线路,在通过逻辑电平开关设置初始状态后,能实现8路LED彩灯循环亮灯。 2、设计要求 1.利用Multisim仿真软件完成8路循环彩灯控制电路系统的设计,且发光二极管的亮度明显可见。 2.根据课设要求确定电路的形式,分析其工作原理,计算元件参数。 3.列出需要的元件清单,在仿真软件中找出并连接好线路。 4.在仿真软件上连接好点啦,并且测试,达到要求。 5.记录实验结果,使得彩灯有规律的发亮,按顺序显示彩灯,当一个循环结束,返回继续循环:绿--绿— 蓝—蓝—红—红—橙—橙,接着返回绿色循环 6.原件和芯片的放置合理,使得界面整洁美观,布线紧密合理。 三、8路彩灯循环系统电路原理及设计 1、设计方案 根据课设要求,循环彩灯系统,首先需要产生一定的脉冲信号来实现,通过采用555定时器通过链接成一个多谐振荡器,振荡电路来实现;各个彩灯发亮之间需要一定的显示时间,此功能可以通过添加D触发器来实现,然后用74LS160计数器转换脉冲信号用来控制彩灯的显示方式。最后链接其显示电路,通过74154N译码器实现。 1.根据课题要求,列出电路中各个功能需要的芯片和原件, 元器件列表 表1 序号器件名称数量备注 1 555定时器 1 连接成多谐振荡产生 脉冲信号

八路彩灯课程设计八路彩灯控制器的设计

课程设计报告 课程: 数字电路 题目:八路彩灯控制器的设计 院系: 物理与电子信息学院 专业: 2011级电子信息科学与技术 学号: 20111302031 姓名: 李俊 完成日期: 2008 年 6 月 22 日

摘要:本次八路彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。关键词:控制、循环、555定时电路 一、设计内容与设计要求 1.设计目的 ⑴学会将一个实际情况抽象为逻辑电路的逻辑状态的方法。 ⑵掌握计数、译码、显示综合电路的设计与调试方法。 ⑶掌握实际输出电路不同要求的实现方法。 2.设计任务 八路彩示系统,该系统实现以下功能: ⑴八路彩灯从左向右逐次渐亮,间隔为1秒。 ⑵八路彩灯从右向左逐次渐灭,间隔为1秒。 ⑶八路彩灯同时亮,时间为0.5秒,然后同时变暗,时间为0.5秒,反复4次。 3.设计要求 ⑴确定总体设计方案画出总方框图,确定各单元电路的功能,进行单元电路的设计,画出逻辑图。 ⑵选择元器件型号。 ⑶画出总逻辑图和装配图,并在实验板上组装电路。 ⑷进行电路调试,使其达到设计要求。 ⑸写出总结报告。 4.参考器件 555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等。 二、总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS163分频实现,循环控制电路由74LS163和74LS10实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。 三、总体设计原理 1.基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

数电课程设计 双色三循环彩灯控制器电路

四川航天职业技术学院 电子工程系课程设计专业名称:电子工程系 课程名称:模电课程设计 课题名称:双色三循环彩灯控制器 设计人员: 指导教师:

年月日 《数字电子技术课程设计》任务书 一、课题名称:双色三循环方式彩灯控制器设计 二、技术指标: 1、电源VDD=12v 2、相邻两灯点亮的时间约在0.3-0.7s间可调,延时时间约在 1-6s间可调 3、计数器和译码器分别采用CMOS中规模集成电路CC4516 和CC4514 4、译码器4145共16个输出。 5、CMOS非门构成的振荡器的振荡周期T=1.4RC,555构成的振 荡器的振荡周期T=0.7(R1+2R2)C 三、要求: 1、控制器有3种方式: 方式A:单绿左移—单绿右移—单红左移—单红右移; 方式B: 单绿左移—全熄延时伴声音; 方式C:单红右移—四灯红闪、四灯绿闪延时。 2、控制器有8路输出,每路用双色发光二极管指示。 3、由单刀三掷开关控制3种方式,每种方式用单色发光二极 管指示 4、论文格式按系下发的《课程设计格式要求》统一执行。 5、要求原理图、印制板图、装配图三图齐全(印制板图和装 配可合二为一)。 指导教师:

学生: 电子工程系 年月日 课程设计报告书评阅页 课题名称:双色三循环彩灯控制器 班级: 姓名: 年月日指导教师评语:

考核成绩:指导教师签名: 年月日 摘要 循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的双色循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。 关键词:计数器,译码器,集成,双色发光二极管

八路循环彩灯的设计方案

目录 摘要 (2) 第一章系统组成及工作原理 (3) 总体设计思路 (3) 基本原理 (3) 电路框图 (3) 第二章循环发光器的系统组成 (4) ) 555定时电路产生时钟脉冲 (5) 移位寄存器 (6) 方案二 74LS138及192的功能 (7) 第三章循环电路的总体设计 (8) 74LS194组成的电路 (9) 74LS138及74LS192组成的电路 (11) 第四章实验结果的调试及检测 (13) 调试使用的主要仪器 (15) ! 调试技巧的方法 (15) 调试中出现的故障、原因及排除方法 (15) 第五章总结 (17) 第六章附录 (18) 附录一 (18) 附录二 (18) 附录三 (19)

[ 摘要 本次循环控制彩灯电路的制作主要采用74LS194芯片接成扭环形结构的移位寄存器来实现,通过555定时电路组成多谢振荡电路。整个电路主要由移位寄存器、控制电路、脉冲发生器构成8个彩灯的循环控制,并且可以组成多种花型。本次主要为全亮全灭,及左右移动的功能。 关键词:控制、循环、555定时电路 < )

, 彩灯循环控制电路的设计与制作 第一章系统组成及工作原理 总体设计思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯左右移,及全灭全亮功能输出电路。时钟脉冲产生电路由555定时电路组成多谐振荡触发器产生连续始终脉冲,循环控制电路采用74LS194实现。方案二中,主要是采用二进制译码器74LS138及中规模集成电路74LS192实现彩灯的循环控制。 基本原理 本次实验主要是通过两片双向移位寄存器74LS194来实现彩灯电路的循环控制,通过555定时电路来产生连续时钟脉冲进行信号的输入,由外围开关控制信号的移动方向,实现左移,右移,及全灭全亮功能。 ' 框图 -

课程设计----四路彩灯显示系统设计

数字电路逻辑设计 实 验 报 告 设计题目: 专业班级: 姓名: 学号:

设计课题:四路彩灯显示系统设计 1.设计任务和要求 设计一个四路彩灯控制器,设计要求如下: (1)接通电源后,彩灯可以自动按预先设置的程序循环闪烁。 (2)设置的彩灯花型由三个节拍组成: 第一节拍:四路彩灯从左向右逐次渐亮,灯亮时间1s,共用4s; 第二节拍:四路彩灯从右向左逐次渐灭,也需4s; 第三节拍:四路彩灯同时亮0.5s,然后同时变暗,进行4次,所需时间也 为4s。 (3)三个节拍完成一个循环,一共需要12s。一次循环之后重复进行闪烁。 2. 设计分析 四路彩灯既有四路输出,设依次为d Q、c Q、b Q、a Q,若“1”表示灯亮,“0”表示灯灭,由课题要求可知四路彩灯显示系统要求如下表1所示的输出显示。 表1 四路彩灯输出显示

由上表可知,需要一个分频器起节拍产生和控制作用,每4s 一个节拍,3个节拍共12s 后反复循环。一个节拍结束后应产生一个信号到节拍程序执行器,完成彩灯渐亮、渐灭、同时亮、同时灭等功能。 分频及节拍控制可以用一个模12计数器来完成;彩灯渐亮、渐灭可以用器件的左移、右移功能来实现,因此可选用移位寄存器74194来完成。同时亮0.5s 、同时灭0.5s 可考虑把1Hz 的秒脉冲信号直接加到输出显示端来完成。 综上所述,要完成四路彩灯显示功能需要有分频器、节拍控制器、节拍程序执行器及脉冲源等电路。 记第一,二,三节拍分别为012Y YY 有效时间应为4秒,0Y 结束1Y 马上开始,1 Y 后2Y 马上开始,如此循环不断。为此可考虑采用移位寄存器构成的移位型控制器。由于有三个状态,因此需要用三个触发器对现时状态进行记忆,为使各状态的有效时间间隔为4秒,则驱动该移位控制器动作时钟周期应为4秒。应在开机瞬间,使移位型控制器的状态被确定下来,即012Y YY 节拍应为100,可控制输入信号使触发器置位、复位来实现。 为实现0Y 功能要求器件具有右移功能,为实现1Y 功能要求器件有左移功能;而且左、右移输入可为“0”也可为“1”;为实现2Y 功能,要求器件同时具有并行置数功能。因此可选用一种具有左移、右移和并行置数功能的通用移位寄存器74LS194。74LS194具有并行输入端A 、B 、C 、D ,并行输出端A Q 、B Q 、C Q 、D Q ,右移输入端SR ,左移输入端SL 和模式控制输入端0S ,1S 以及一个无条件直接清除端CLR 。模式控制输入0S ,1S 有00、01、10、11四种组合方式,分别表示双向移位寄存器所具有的四种功能,即禁止、右移、左移和并行置数。为了使当 012Y YY =100时,01S S =01(右移),012Y YY =010时,01S S =10(左移),当012Y YY =001时01S S =11(并行置数)。 74LS194的输出端初态均为零,在开机瞬间,使移位控制端01S S 的状态被确 定下来,即 012Y YY =100时,01S S =01 右移串行数据输入端 SR 经脉冲信号经四分频电路和 通过两或门组成的节拍电路,使四路彩灯从右到左依次亮共 4秒 ,

声控音乐彩灯课程设计报告

设计题目:声控音乐彩灯 专业电气工程学院 班级 1008班 学号 10291246 学生姓名乔茜 指导教师叶晶晶 提交时间2012年6月29日

目录 一、概述 (2) 1.1目的 (2) 1.2课程设计的组成部分 (2) 二、总结 (5) 3.1课程设计进行过程及步骤 (5) 3.2所遇到的问题,你是怎样解决这些问题的........ 错误!未定义书签。 3.3体会收获及建议 (7)

一、概述 1.1目的 我们都知道,人发出的声音信号中的电压信号是及其微弱的,只是在毫伏级别,而其频率信号一般在几十到几百赫兹,我们希望用声音信号来控制信号灯闪烁的频率,从而可以让大家直观得看到自己说话声音“音符”,如果加上音乐,那么彩灯便会随着音乐的跳动而闪烁出不同的频率。为了实现上述功能,我们首先要将微弱的声音信号放大,然后将声音信号进行降频,降到可以被肉眼分辨出的频率。因此,此电路可以分为三部分:一、电压信号两级放大部分二、频率信号降频部分三、彩灯显示部分 1.2课程设计的组成部分 一:电压信号两级放大部分 这部分我们先用一个电容滤去直流部分,然后通过一个三极管将电压进行第一级放大,再次电容滤去直波,再通过一个三极管放大。经过两次放大后,电压被放大了100倍,这样就将毫伏级别的电压放大到了级别为伏的电压信号,为下一步降频做准备。 以下是第一部分的仿真:

二:频率信号降频部分 电路主要是四个集成芯片CD4017构成。CD4017是十进制计数器/分频器,其内部由计数器及分频器两部分组成,由译码输出现实对脉冲的分配,整个输出时序就是Q0、Q1、Q2、Q3···、Q9依次出现与时钟同步的高电平,宽电平等于时钟周期。 CD4017有3个输入端(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时,其输出Q0为高电平,其余输出端(Q1~Q9)均为地电平.CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号有CP0端输入,若要下降沿来计数,则信号由CP1端输入。 CD4017有10个输出端(Q0~Q9)和1个进位输出端CO。每输入10个计数脉冲,C0就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号,输出端依此变为高电平。 在C1中将11与15相接,即输入端14每输入9个信号时发生一次清零,达到降频的目的。C2中将C1送来的信号分配开,并分在10个端子上得到顺序正脉冲,以达到降频、分频的目的。 第一个芯片进行的是第一次分频,接下来的三个芯片是并联接入状态,其14与第一个的3相连,并利用3,4,10,5,9五个端口进行输出。 以下是第二部分的仿真部分:

模电课程设计参考题目

; 课程设计题目 一.多功能信号发生器的设计(三选一) 设计要求: 1.能输出1~10KHz连续可调的正弦波-方波-三角波。 正弦波峰-峰值U P-P≥6V 方波的峰-峰值U P-P≥10V 三角波的峰-峰值U P-P≥5V 要求用集成运算放大器μA741,LM324或其他型号的运算放大器实现。 2.> 3.能输出1~10KHz连续可调的正弦波-方波-锯齿波。要求同上。 4.能输出1~10KHz连续可调的方波-三角波-正弦波函数转换器。要求同上。 二.带前置放大的音频功率放大器(二选一) 设计要求: 1.前置放大器的放大倍数为10倍,使用双/单路低噪声集成运放NE5532/NE5534、OP-27A,功率放大采用LA4100、或LM386、或其他型号。音量可调,杂音小,有电源退耦,无自激。 2.用集成功放TDA1521、TDA2030A或LM1875等 用桥式整流电容滤波集成稳压块电路设计电路所需的直流电源(查功放最低的直流电压)。 三.设计一OCL音频功率放大器 ? 设计任务和要求 1.OCL前面要有推动级。输入信号为ui=10mV, 频率f=1KHz; 2.额定输出功率Po≥2W; 3.负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 四.设计一OTL音频功率放大器 设计任务和要求 1.OTL前面要有推动级。设音频信号为ui=10mV, 频率f=1KHz; 2.! 3.额定输出功率Po≥2W;负载阻抗R L=8Ω;失真度γ≤3%; 4.用桥式整流电容滤波集成稳压块电路设计电路所需的正负直流电源。 五.多级低频电压放大器 设计要求:

四路彩灯系统报告书

一.设计整体思路 根据课程设计课题要求,要实现本系统,需要设计时钟脉冲产生电路,循环控制电路和彩灯花样输出电路。时钟脉冲产生电路由74LS161分频实现,循环控制电路由74LS161和7420实现,彩灯花样输出电路由74LS194和相关逻辑电路实现。前两个节拍由74LS194芯片左移右移功能易于实现,第三个节拍整体送数,利用异步清零将清零端置0达0.5秒即可。 二.基本原理及整体框图 1、基本原理 由设计要求出发可知彩灯的三个节拍可以用移位寄存器74LS194实现,通过控制S0和S1实现右移、左移和送数,通过控制CLR'控制清零。第一节拍为1右移,第二节拍为0左移,第三节拍全亮为置数1,全灭为清零。由于程序循环一次要12秒,故需要一个12进制的计数器控制循环。第三节拍时要求1秒内全灭全亮各一次,故可在前0.5秒内送数1将彩灯全部点亮,接下来的0.5秒使得74LS19清零端为零,从而将彩灯熄灭。然后重复此亮灭状态三次。因此第三个节拍只要在清零端送与CL K端相同的脉冲,但考虑到竞争冒险对电路的影响,需延迟时钟脉冲。

2、框图

三.单元电路设计及单元电路 1、分频器的实现 在数字电子技术中,对脉冲实现分频的方法一般有两种:其一是用n进制计数器。其二是用D触发器电路。这两种方法各有优势,但在此处我只分析用74LS161计数器实现分频的电路。电路分析图: 及其波形图 如下

2、循环控制电路 如果模N计数器的计数序列从最小1到最大数N,那么N+1是多余的,可用与非门检测N,当N出现时,与非门输出为低,用它控制清零端CR,将计数器清零。此处工作状态从0001~1100,检测到1101时异步清零。 12进

单片机的彩灯课程设计报告

一、系统设计 本设计以STC89C52单片机为核心,用独立键盘控制模式的选择,STC89C52单片机接收到键盘信号后控制发光二极管以不同的模式闪烁的实验装置,用STC89C52单片机控制8个发光二极管发光,实现亮点的循环移动。通过Protel软件设计,布线排版,手工制版,并能掌握通过软件控制发光二极管的思路和技巧。这次设计重点就在于利用单片机的知识去控制系统的运行,图1-1为整体方案设计框图。 图1-1 总体方框图 1.设计要求: a.上电复位。 b.上电后数码管消隐,LED灯全灭。 c.当按下第一个按键后数码管显示1第二个按键显示2以此类推。 d.每个按键控制不同的闪烁模式。 e.数码管显示有保持功能。

二、方案设计 本设计总体设计思路为:以STC89C52单片机为核心,用独立键盘作STC89C52单片机的信号输入,STC89C52单片机接收到键盘信号后经单片机内部软件处理后将数据输出至P1口和P0口,使8发光二极管以不同的模式闪烁,并由七段数码管显示其闪烁模式编号。在课程设计中通过Protel软件设计原理图,布线排版,用万能版(单孔)手工焊接制作出实物。 1.方案论证 方案一:采用汇编语言编程的软件的STC89C52单片机系统。 本方案设计的核心为STC89C52单片机系统,包括硬件和软件,硬件采用四位独立键盘做信号输入,STC89C52单片机进行信号处理并输出,显示部分为八个发光二级管和七段数码管,八个发光二极管采用共阴极接法,七段数码管采用共阳极的。软件采用Kell编辑的汇编语言程序,并烧写至STC89C52单片机。图2-1为方案一方框图 图2-1 方案一方框图

欧姆龙循环彩灯课程设计(自编)

题目4:循环彩灯PLC控制系统设计 专业:自动化年级:2009级班级:09自动化一班姓名:XXX 摘要 随着人们生活水平的提高,环境的不断改善和美化,PLC对人类的影响越来越广。在许多场合可以看到很多彩色的霓虹灯。特别是当今充满竞争的时代,各地政府为吸引游客和投资者,在城市的沿街、沿道、沿河、沿线等地用霓虹灯造景,实施“亮化工程”,以美化环境、树立城市形象。 由于LED彩灯克服了传统霓虹灯投资大,制作工艺复杂,使用玻璃管、高压电源及惰性气体等诸多不便,同时解决了耗电高、造价高,使用寿命短的不足,因此得到了广泛的应用。越来越多的商家开始关注这块商机无限的市场,竞相制作生产。 但是目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,电路结构复杂、功能单一,这样一旦制成成品只能按照固定的模式闪亮,不能根据不同场合、不同时间段的需要来调节亮度时间、模式、闪烁频率等动态参数。同时这种彩灯控制器结构往往有芯片过多、电路复杂、功率损耗大等缺点。此外从功能上来看,亮灯模式少而且样式单调,缺乏用户可操作性,影响亮灯效果。因此有必要对现有的彩灯控制器进行改进。 关键字:PLC LED彩灯控制器 一、设计要求及任务 系统功能和控制要求:

1、流水型彩灯控制: 按下流水型彩灯启动按钮A,彩灯从前往后流水型控制,各灯点亮时间为1s,5s后从后往前流水型控制,循环10次后自动停止,各灯点亮。 按下流水型彩灯启动按钮B,彩灯从前往后隔位点亮流水型控制,并无限循环,各灯点亮时间自行设定;按下停止按钮系统停止工作。 2、发射与聚集型彩灯控制: 按下发射型彩灯启动按钮:彩灯以L5—L6,L4—L7,L3—L8,L2—L9,L1—L10顺序发射型依次点亮,各灯亮2s循环5次自动停止; 按下聚集型彩灯启动按钮:彩灯以L1—L10,L2—L9,L3—L8,L4—L7,L5—L6顺序聚集型依次点亮,各灯亮2s循环5次自动停止; 3、四灯同亮型彩灯控制: 自行设计一种四灯同亮控制规律,要求有5种四灯同亮模式,各模式依次点亮,点亮时间为2s,要求一次循环每个灯均被点亮2次。循环5次后自动停止。 按下四灯同亮彩灯启动按钮,彩灯按设计的四灯同亮控制规律运行。 4、综合彩灯控制: 将上述各种彩灯控制功能综合,自行设计控制规律,要求在按下综合彩灯启动按钮时彩灯按设计的控制规律循环运行,直至按下系统停止按钮时停止工作。要求一次循环中必须要有上述各种彩灯控制功能,每种功能在一次循环中出现不超过两次。 二、系统分析 近年来不断开发出许多功能模块,如高速计数模块、温度控制模块、远程I/O模块、通信和人机接口模块等。这些带CPU和存储器的智能I/O模块,既扩展了PLC功能,又使用灵活方便,扩大了PLC应用范围。加强PLC联网通信的能力,是PLC技术进步的潮流。PLC的联网通信有两类:一类是PLC之间联网通信,各PLC生产厂家都有自己的专有联网手段;另一类是PLC与计算机之间的联网通信,一般PLC都有专用通信模块与计算机通信。为了加强联网通信能力,PLC生

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师:赵玲 2015年1 月7 日

目录 一、课程设计目的 (3) 二、课程设计要求 (3) (一)、彩灯控制器设计要求 (3) (二)、课程设计总体要求 (3) 三、课程设计内容 (3) (一)、设计原理分析 (3) (二)、器件选择 (5) (三)、具体电路连线及设计思路 (6) 1、时钟控制电路 (6) 2、花色控制电路 (7) 3、花色演示电路 (8) 4、总体电路图 (10) 四、实际焊接电路板思路及过程 (11) (一)、设计思路及电路图 (11) (二)、设计及焊接过程 (11) (三)、电路板展示 (12) 五、课程设计总结与体会 (13)

一、课程设计目的 1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。 2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。从而学会使用常用集成数字芯片进行电路设计。 3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。 4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。 5.培养自己的动手能力,团队协作能力。 二、课程设计要求 (一)、彩灯控制器设计要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下: 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; 3.(选做内容)闪烁时实现快慢两种节拍的变换。 (二)、课程设计总体要求 (1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功; (3)每人独立完成一份设计报告。 三、课程设计内容 (一)、设计原理分析 1.基本原理如下:总体电路共分三大块。第一块实现时钟信号的产生和控制,利用555定时器连接电路实现该功能;第二块实现花型的控制及节拍控制,利用

相关主题
文本预览
相关文档 最新文档