当前位置:文档之家› 电子技术基础试卷及答案

电子技术基础试卷及答案

电子技术基础试卷及答案
电子技术基础试卷及答案

电力电子技术期末考试试题及答案(史上最全)

电力电子技术试题 第1章电力电子器件 1.电力电子器件一般工作在__开关__状态。 2.在通常情况下,电力电子器件功率损耗主要为__通态损耗__,而当器件开关频率较高 时,功率损耗主要为__开关损耗__。 3.电力电子器件组成的系统,一般由__控制电路__、_驱动电路_、 _主电路_三部分组成, 由于电路中存在电压和电流的过冲,往往需添加_保护电路__。 4.按内部电子和空穴两种载流子参与导电的情况,电力电子器件可分为_单极型器件_ 、 _ 双极型器件_ 、_复合型器件_三类。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 7.肖特基 二极管的开关损耗_小于_快恢复二极管的开关损耗。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 9.对同一晶闸管,维持电流IH与擎住电流IL在数值大小上有IL__大于__IH 。 10.晶闸管断态不重复电压UDSM与转折电压Ubo数值大小上应为,UDSM_大于__Ubo。 11.逆导晶闸管是将_二极管_与晶闸管_反并联_(如何连接)在同一管芯上的功率集成器件。的__多元集成__结构是为了便于实现门极控制关断而设计的。 的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的_截止区_、前者的饱和区对应后者的__放大区__、前者的非饱和区对应后者的_饱和区__。 14.电力MOSFET的通态电阻具有__正__温度系数。 的开启电压UGE(th)随温度升高而_略有下降__,开关速度__小于__电力MOSFET 。 16.按照驱动电路加在电力电子器件控制端和公共端之间的性质,可将电力电子器件分为_电压驱动型_和_电流驱动型_两类。 的通态压降在1/2或1/3额定电流以下区段具有__负___温度系数,在1/2或1/3额定电流以上区段具有__正___温度系数。 18.在如下器件:电力二极管(Power Diode)、晶闸管(SCR)、门极可关断晶闸管(GTO)、电力晶体管(GTR)、电力场效应管(电力MOSFET)、绝缘栅双极型晶体管(IGBT)中,属

电子技术基础试题

电子技术基础(三)试题 一、单项选择题(本大题共15小题,每小题1分,共15分) 在每小题列出的四个备选项中只有一个选项是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。 1.以下关于脉动直流电压的描述中,正确的是() A.电压的大小和方向均不随时间变化 B.电压的大小随时间变化,但方向不随时间变化 C.电压的大小不随时间变化,但方向随时间变化 D.电压的大小和方向均随时间变化 2.以下关于理想电流源特性的描述中,正确的是() A.理想电流源的信号源内阻接近于零 B.理想电流源任何时候都可以串联在一起 C.理想电流源的输出电流与负载无关 D.理想电流源两端的电压与负载无关 3.电路如题3图所示,已知相量电流则向量电流I为() A.10∠90° (A) B.10∠-90° (A) C.2∠45° (A) D.2∠-45° (A) 4.N型半导体中的多数载流子是() A.自由电子B.空穴 C.五价杂质原子D.五价杂质离子 5.已知工作在放大区的某硅晶体三极管的三个电极电位 如题5图所示,则a、b、c三个电极分别为() A.发射极、基极、集电极 B.发射极、集电极、基极 C.基极、发射极、集电极 D.基极、集电极、发射极 6.理想运放的差模输入电阻R id和输出电阻R O分别为() A.R id=0,R O=0 B.R id=0,R O=∞ C.R id=∞,R O=0 D.R id=∞,R O=∞ 7.为避免集成运放因输入电压过高造成输入级损坏,在两输入端间应采取的措施是() A.串联两个同向的二极管B.串联两个反向的二极管 C.并联两个同向的二极管D.并联两个反向的二极管 8.在单相半波整流电路中,如变压器副方电压的有效值为U2,则二极管所承受的最高反向电压为()

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

王兆安版电力电子技术试卷及答案

20××-20××学年第一学期期末考试 《电力电子技术》试卷(A) (时间90分钟 满分100分) (适用于 ××学院 ××级 ××专业学生) 一、 填空题(30分,每空1分)。 1.如下器件:电力二极管(Power Diode )、晶闸管(SCR )、门极可关断晶闸管(GTO )、电力晶体管(GTR )、电力场效应管(电力MOSFET )、绝缘栅双极型晶体管(IGBT )中,属于不可控器件的是________,属于半控型器件的是________,属于全控型器件的是________;属于单极型电力电子器件的有________,属于双极型器件的有________,属于复合型电力电子器件得有 ________;在可控的器件中,容量最大的是________,工作频率最高的是________,属于电压驱动的是________,属于电流驱动的是________。(只写简称) 2.单相桥式全控整流电路中,带纯电阻负载时,α角移相范围为 _,单个晶闸管所承受的最大正向电压和反向电压分别为 和 ;带阻感负载时,α角移相范围为 ,单个晶闸管所承受的最大正向电压和反向电压分别为 和 。 3.直流斩波电路中最基本的两种电路是 和 。 4.升降压斩波电路呈现升压状态时,占空比取值范围是__ _。 5.与CuK 斩波电路电压的输入输出关系相同的有 、 和 。 6.当采用6脉波三相桥式电路且电网频率为50Hz 时,单相交交变频电路的输出上限频率约为 。 7.三相交交变频电路主要有两种接线方式,即 _和 。 8.矩阵式变频电路是近年来出现的一种新颖的变频电路。它采用的开关器件是 ;控制方式是 。 9.逆变器按直流侧提供的电源的性质来分,可分为 型逆变器和 型逆变器。 10.把电网频率的交流电直接变换成可调频率的交流电的变流电路称为 。 二、简答题(18分,每题6分)。 1.逆变电路多重化的目的是什么?如何实现?串联多重和并联多重逆变电路各应用于什么场合? 2.交流调压电路和交流调功电路有什么异同? 3.功率因数校正电路的作用是什么?有哪些校正方法?其基本原理是什么? 三、计算题(40分,1题20分,2题10分,3题10分)。 1.一单相交流调压器,电源为工频220V ,阻感串联作为负载,其中R=0.5Ω,L=2mH 。 试求:①开通角α的变化范围;②负载电流的最大有效值;③最大输出功率及此时电源侧的功率因数;④当2πα=时,晶闸管电流有效值,晶闸管导通角和电源侧功率因数。 2..三相桥式电压型逆变电路,工作在180°导电方式,U d =200V 。试求输出相电压的基波幅值U UN1m 和有效值U UN1、输出线电压的基波幅值U UV1m 和有效值U UV1、输出线电压中7次谐波的有效值U UV7。 3 .如图所示降压斩波电路E=100V ,L 值极大,R=0.5Ω,E m =10V ,采用脉宽调制控制方式,T=20μs ,当t on =5μs 时,计算输出电压平均值U o ,输出电流平均值

电工电子技术基础习题答案汇总

第1章 电路的基本知识 1.1 电路的概念 (1)略 (2)电路通常由电源、负载和中间环节(导线和开关)等部分组成。 A .电源的作用:将其他形式的能转换成电能。 B .负载的作用:将电能转换成其他形式的能。 C .中间环节的作用:传递、分配和控制电能。 1.2 电路中的主要物理量 (1)零、负电位、正电位 (2)3、1.5、3、1.5、0、3 (3)-7,-5 1.3 电阻 (1)3∶4 (2)查表1.3,知锰铜合金的电阻率?Ω?=-7 10 4.4ρm 根据S l R ρ=,得43.1104.41021.0376=???==--ρRS l m 1.4 欧姆定律 (1)电动势、内压降 (2)当R =∞ 时,电路处于开路状态,其特点是电路中电流为零,电源端电压等于电源电动势;当R =0时,电路处于短路状态,其特点是短路电流极大,电源端电压等于0。 (3)22.01000 220 === R U I A 由于22.0=I A=220mA 50>mA ,故此人有生命危险。 1.5 电功与电功率 (1)2540 1000 ===P W t h (2)略 (3)31680072002.0220=??==UIt W J 思考与练习 一、判断题 1.√ 2. × 3. √ 4. × 5. √ 6. × 7. × 8. √ 9. × 二、选择题 1. C 2. C 3. B 4. B 5. B 6. B 7. C 8. B 三、填空题

1.正、相反; 2.参考点; 3.负极、正极; 4.高、低、低、高; 5.材料、长度、横截面积、 S l R ρ =; 6.1800、±5%; 7.220 四、计算题 1.5510=-=-=b a ab V V U V 10)5(5=--=-=c b bc V V U V 15)5(10=--=-=c a ac V V U V 15-=-=ac ca U U V 2.2.012024===t Q I A Ω=== 202 .04I U R 3.(1)210 100220 =+=+= r R E I A (2)2001002=?==IR U V (3)20102=?==Ir U r V 4.(1)8804220=?==UI P W (2)15840001800880=?==Pt W J (3)1440018005.042 2 =??==Rt I Q J (4)1569600144001584000=-=-=Q W E J 第2章 直流电路的分析与计算 2.1 电阻的连接 (1)5.04 2 11=== R U I A 10205.022=?==IR U V 1210221=+=+=U U U V (2)由于1 2 2 212 21R R R U R U P P = = 故142820 101212=?== P R R P W

(完整版)《电工电子技术基础》试题库(附有答案)

一、填空题 1.已知图中 U1=2V, U2=-8V,则U AB=-10。 2.电路的三种工作状态是通路、断路、短路。 3.有三个6Ω的电阻,若把它们串联,等效电阻是 18 Ω;若把它们并联,等效电阻 2Ω;若两个并联后再与第三个串联,等效电阻是 9 Ω。 4.用电流表测量电流时,应把电流表串联在被测电路中;用电压表测量电压时,应把电压表与被测电路并联。 5.电路中任意一个闭合路径称为回路;三条或三条以上支路的交点称为节点。 6.电路如图所示,设U=12V、I=2A、R=6Ω,则U AB= -24 V。 7.直流电路如图所示,R1所消耗的功率为2W,则R2的阻值应为 2 Ω。 8.电路中电位的参考点发生变化后,其他各点的电位均发生变化。 9.在直流电路中,电感可以看作短路,电容可以看作断路。 9.我国工业交流电采用的标准频率是 50 Hz。 10.三相对称负载作三角形联接时,线电流I L与相电流I P间的关系是:I P=3 I L。 11.电阻元件是耗能元件,电容元件是储能元件。

12.已知一正弦电压u=311sin(628t-60o)V ,则其最大值为 311 V ,频率为 100 Hz ,初相位为 -60o 。 13.在纯电阻交流电路中,已知电路端电压u=311sin(314t-60o)V ,电阻R=10Ω,则电流I=22A,电压与电流的相位差φ= 0o ,电阻消耗的功率P= 4840 W 。 14.三角形联结的三相对称负载,若线电压为380 V ,则相电压为 380 V ;若相电流为10 A ,则线电流为 17.32 A 。 15.式Q C =I 2X C 是表示电容元件在正弦电路中的 无功 功率计算公式。 16.正弦交流电压的最大值U m 与其有效值U 之比为 2 。 17.电感元件是一种储能元件,可将输入的电能转化为 磁场 能量储存起来。 18.若三相电动势依次达到最大值的次序为e 1—e 2—e 3,则称此种相序为 正序 。 19.在正弦交流电路中,电源的频率越高,电感元件的感抗越 大 。 20.已知正弦交流电压的有效值为200V ,频率为100Hz ,初相角为30o,则其瞬时值表达式u= 282.8sin (628t+30o) 。 21.正弦量的三要素是 最大值或有效值 、 频率 和 初相位 。 22.对称三相电源是指三个 幅值 相同、 频率 相同和 相位互差120o 的电动势电源。 23.电路有 通路 、 开路 和 短路 三种工作状态。当电路中电流0 R U I S 、端电压U =0时,此种状态称作 短路 ,这种情况下电源产生的功率全部消耗在 内阻 上。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

电力电子技术-模拟试题2-试卷

电力电子技术模拟试题2(开卷,时间:120分钟) (所有答案必须写在答题纸上) 一、填空题(40分,每空1分) 1. GTO的结构是为了便于实现门极控制关断而设计的。 2.GTO的开通控制方式与晶闸管相似,但是可以通过在门极使其关断。 3. GTO导通过程与普通晶闸管一样,只是导通时饱和程度,导通时管压降。 4. GTO最大可关断阳极电流与门极负脉冲电流最大值I GM之比称 为, 该值一般很小,只有左右,这是GTO的一个主要缺点。 5. GTR导通的条件是:且。 6. 在电力电子电路中GTR工作在开关状态, 在开关过程中,在区和 区之间过渡时,要经过放大区。 7. 电力MOSFET导通的条件是:且。 8. 电力MOSFET的漏极伏安特性中的三个区域与GTR共发射极接法时的输出特性中的三个区域有对应关系,其中前者的截止区对应后者的、前者的饱和区对应后者的、前者的非饱和区对应后者的。 9.电力MOSFET的通态电阻具有温度系数。 10.IGBT是由和两类器件取长补短结合而成的复合器件10.PWM控制的理论基础是原理,即相等而形状不同的窄脉冲加在具有惯性的环节上时,其效果基本相同。 11.根据“面积等效原理”,SPWM控制用一组的脉冲(宽度按 规律变化)来等效一个正弦波。 12.PWM控制就是对脉冲的进行调制的技术;直流斩波电路得到的PWM波是等效波形,SPWM控制得到的是等效波形。 13.PWM波形只在单个极性范围内变化的控制方式称控制方式,PWM 波形在正负极性间变化的控制方式称控制方式,三相桥式PWM型逆变电路采用控制方式。 14.SPWM波形的控制方法:改变调制信号u r的可改变基波幅值;改变

电工电子技术与技能教案(1-1).

电工电子技术与技能教案(1-1)【课题编号】 1-01-01 【课题名称】认识电工实训室与安全用电 【教学目标】 应知: 1.简单认识电工实训室。 2.了解电工基本操作规程。 应会: 1.掌握常用电工仪器、仪表的使用。 2.学会安全用电常识。 【学情分析】学生在初中物理电学的基础上,接触电工电子这门课程,为了让学生对这门课程能有一个初步的认识,从认识实训室入手,加强实物教学,能降低学习难度,符合学生的认知规律,从而达到教学目的。通过多媒体演示、教师讲解、学生讨论让学生有一定的安全用电知识,为以后的学习做好安全保障。 【教学方法】现场教学法、演示法、实验法、讨论法、对比法。 【教具资源】 电工实训台、万用表、试电笔、多媒体课件 【教学安排】 2学时(90分钟) 【教学过程】 一、导入新课 电工电子技术与技能这门课程是学习关于电的知识、技能及应用,这些知识和技能的学习离不开电工实训室。为了让大家对电有一个具体的认识,我们首先认识电工实训室常用电工仪器、仪表。 二、讲授新课 教学环节1:认识电工实训室 (一)实训台 教师活动:引导学生观察实训台,了解实训台的几个组成部分的作用。 学生活动:观察实训台,在教师引导下分析、讨论,对实训台有初步了解。 能力培养:锻炼学生的观察能力和综合概括能力。

(二)常用电工仪器、仪表 教师活动:现场演示讲解各种仪器、仪表外形作用及简单使用方法。 学生活动:在教师引导下,观察各种仪器、仪表,练习简单的使用方法。 能力培养:锻炼学生的观察能力和动手操作能力。 教学环节2:电工基本操作规程 教师活动:简单讲解操作规程,引导学生讨论分析知道违规的弊端。 学生活动:分组讨论每项操作规程,了解违反规程的危害。 教学环节3:安全用电常识 (一)常见的触电方式 教师活动:通过触电实例,和学生介绍触电方式及触电的危害。 学生活动:在教师引导下,结合实例,分组讨论触电方式及危害。 能力培养:培养学生的分析概括和知识横向联系的能力。 (二)电流对人体的危害及触电急救 教师活动:通过触电实例,介绍电流对人体危害,安全电压;利用多媒体演示触电急救方法,让学生掌握简单触电急救方法。 学生活动:在教师引导下,结合实例,分组讨论电流对人体危害;观看多媒体演示触电急救方法,掌握简单触电急救方法。 能力培养:培养学生的分析概括和知识横向联系的能力。 (三)安全用电注意事项 教师活动:通过用电实例,介绍安全用电注意事项,让学生了解安全用电注意事项。 学生活动:联系实际,结合实例,分组讨论安全用电注意事项。 能力培养:培养学生的分析概括和知识横向联系的能力。 (四)电气火灾的防范 教师活动:通过用电实例,介绍引起电气火灾的原因,让学生了解基本灭火方法。 学生活动:联系实际,结合实例,分组讨论电气火灾的防范。 能力培养:培养学生的分析概括和知识横向联系的能力。 三、课堂小结 教师与学生一起回顾本节课的知识,引导学生在理论联系实践的基础上理解相关知识。为便于学生理解,教师要尽可能结合实际,用多媒体投影,像讲故事一样,引导学生一起回顾实训室、安全用电知识。必要时可以各小组总结本节主要内容,让学生在轻松的气氛下掌握知识。

电子技术基础期末考试试题及答案

10.电路如下图所示,若初态都为0,则=1的是()

精品文档 注:将选择题和判断题答案填写在上面的表格里,否则该题不得分 三、填空题(本大题共5小题,每小题4分,共20分) 21.JK触发器可避免RS触发器状态出现。与RS触发器比较,JK触发器增加了功能; 22.寄存器存放数码的方式有和两种方式; 23.二极管的伏安特性曲线反映的是二极管的关系曲线; 24.常见的滤波器有、和; 25.现有稳压值为5V的锗稳压管两只,按右图所示方法接入电路,则V0= 。 四、应用题(本大题共3小题,共35分,要求写出演算过程) 26.(10分)某JK触发器的初态Q=1,CP的下降沿触发,试根据下图所示的CP、J、K的波形,画出输出Q和Q的波形。27.(9分)如下图所示电路,测得输出电压只有0.7V,原因可能是: (1)R开路;(2)R L开路;(3)稳压二极管V接反; (4)稳压二极管V短路。应该是那种原因,为什么? 28.(16分)分析下图所示电路的工作原理,要求: (1)列出状态表,状态转换图;(2)说明计数器类型。

精品文档 参考答案及评分标准 一、单项选择题(本大题共15小题,每小题2分,共30分) 二、判断题(本大题共5小题,每小题3分,共15分) 三、填空题(本大题共5小题,每小题4分,共20分) 21.不确定,翻转 22.并行和串行 23.V D -I D 24.电容、电感、复式 25.5.3V 四、应用题(本大题共3小题,共30分,要求写出演算过程) 26. 27.解:稳压二极管V 接反,变成正向偏置,稳压二极管正向导通时,压降是0.7V 28.解:计数前,各触发器置0,使Q 2Q 1Q 0=000 (1)第一个CP 作用后,Q 0=0→1,0Q =1→0=CP 1,对F 1触发无效,所以Q 1保持0态不变。而F 2没有接到任何触发信号,所以Q 2亦保持0态不变。第二个CP 作用后,Q 0=1→0,而0Q =0→1=CP 1,对F 1属有效触发,所以Q 1=0→1。而1Q =1→0=CP 2,对F 2无效,所以F 2仍为原态即0态。依次按规律分析,可得如下计数状态表为 (2)从状态表和电路结构可知,该计数电路为三位异步二进制加法计数电路。

电力电子技术期末复习考卷综合

一、填空题: 1、电力电子技术的两个分支是电力电子器件制造技术和 变流技术 。 2、举例说明一个电力电子技术的应用实例 变频器、 调光台灯等 。 3、电力电子承担电能的变换或控制任务,主要为①交流变直流(AC —DC )、②直流变交流(DC —AC )、③直流变直流(DC —DC )、④交流变交流(AC —AC )四种。 4、为了减小电力电子器件本身的损耗提高效率,电力电子器件一般都工作在 开关状态,但是其自身的功率损耗(开通损耗、关断损耗)通常任远大于信息电子器件,在其工作是一般都需要安装 散热器 。 5、电力电子技术的一个重要特征是为避免功率损耗过大,电力电子器件总是工作在开关状态,其损耗包括 三个方面:通态损耗、断态损耗和 开关损耗 。 6、通常取晶闸管的断态重复峰值电压UDRM 和反向重复峰值电压URRM 中较 小 标值作为该器件的额电电压。选用时,额定电压要留有一定的裕量,一般取额定电压为正常工作时晶闸管所承受峰值电压的2~3倍。 7、只有当阳极电流小于 维持 电流时,晶闸管才会由导通转为截止。导通:正向电压、触发电流 (移相触发方式) 8、半控桥整流带大电感负载不加续流二极管电路中,电路可能会出现 失控 现象,为了避免单相桥式 半控整流电路的失控,可以在加入 续流二极管 来防止失控。 9、整流电路中,变压器的漏抗会产生换相重叠角,使整流输出的直流电压平均值 降低 。 10、从晶闸管开始承受正向阳极电压起到施加触发脉冲止的电角度称为 触发角 。 ☆从晶闸管导通到关断称为导通角。 ☆单相全控带电阻性负载触发角为180度 ☆三相全控带阻感性负载触发角为90度 11、单相全波可控整流电路中,晶闸管承受的最大反向电压为 2√2U1 。(电源相电压为U1) 三相半波可控整流电路中,晶闸管承受的最大反向电压为 。(电源相电压为U 2) 12、四种换流方式分别为 器件换流 、电网换流 、 负载换流 、 强迫换流 。 13、强迫换流需要设置附加的换流电路,给与欲关断的晶闸管强迫施加反压或反电流而关断。 14、直流—直流变流电路,包括 直接直流变流电路 电路和 间接直流变流电路 。(是否有交流环节) 15、直流斩波电路只能实现直流 电压大小 或者极性反转的作用。 ☆6种斩波电路:电压大小变换:降压斩波电路(buck 变换器)、升压斩波电路、 Cuk 斩波电路、Sepic 斩波电路、Zeta 斩波电路 升压斩波电路输出电压的计算公式 U= 1E β=1- ɑ 。 降压斩波电路输出电压计算公式: U=ɑE ɑ=占空比,E=电源电压 ☆直流斩波电路的三种控制方式是PWM 、 频率调制型 、 混合型 。 16、交流电力控制电路包括 交流调压电路 ,即在没半个周波内通过对晶闸管开通相位的控制,调节输出电压有效值的电路, 调功电路 即以交流电的周期为单位控制晶闸管的通断,改变通态周期数和断态周期数的比,调节输出功率平均值的电路, 交流电力电子开关即控制串入电路中晶闸管根据需要接通或断开的电路。

电工电子技术基础考试试卷答案

《电工电子技术基础》 一、填空题:(每题3分,共12题,合计 33 分) 1、用国家统一规定的图形符号画成的电路模型图称为,它只反映电路中电气方面相互联系的实际情况,便于对电路进行和。 2、在实际电路中,负载电阻往往不只一个,而且需要按照一定的连接方式把它们连接起来,最基本的连接方式是、、。 3、在直流电路的分析、计算中,基尔霍夫电流第一定律又称定律,它的数学表达式为。假若注入节点A的电流为5A和-6A,则流出节点的电流I 出= A 。 4、电路中常用的四个主要的物理量分别是、、、。 它们的代表符号分别是、、和; 5、在实际应用中,按电路结构的不同分为电路和电路。凡是能运用电阻串联或电阻并联的特点进行简化,然后运用_________求解的电路为_____;否则,就是复杂电路。 6、描述磁场的四个主要物理量是:___、_____、_______和_______;它们的代表符号分别是____、_____、______和____; 7、电磁力F的大小与导体中 ____的大小成正比,与导体在磁场中的有效 ________及导体所在位置的磁感应强度B成正比,即表达式为:________ ,其单位为:______ 。 8、凡大小和方向随时间做周期性变化的电流、电压和电动势交流电压、交流电流和交流电动势,统称交流电。而随时间按正弦规律变化的交流电称为正弦交流电。 9、______________、_______________和__________是表征正弦交流电的三个重要物理量,通常把它们称为正弦交流电的三要素。 10、已知一正弦交流电压为u=2202sin(314t+45°)V,该电压最大值为__________ V,角频率为__________ rad/s,初相位为________、频率是______ Hz周期是_______ s。 11、我国生产和生活所用交流电(即市电)电压为 _ V。其有效值为 _ V,最大值为____ V,工作频率f=____ __Hz,周期为T=_______s,其角速度ω=______rad/s,在1秒钟内电流的方向变化是________次。 二、判断下列说法的正确与错误:正确的打(√),错误的打(×),每小题1分,共 20 分 1、电路处于开路状态时,电路中既没有电流,也没有电压。(_) 2、理想的电压源和理想的电流源是不能进行等效变换。(_) 3、对于一个电源来说,在外部不接负载时,电源两端的电压大小等于电源电动势的大小,且 方向相同。(_) 4、在复杂电路中,各支路中元器件是串联的,流过它们的电流是相等的。(_) 5、用一个恒定的电动势E与内阻r串联表示的电源称为电压源。(_) 6、理想电流源输出恒定的电流,其输出端电压由内电阻决定。(_) 7、将一根条形磁铁截去一段仍为条形磁铁,它仍然具有两个磁极. (_ ) 8、磁场强度的大小只与电流的大小及导线的形状有关,与磁场媒介质的磁导率无关(_)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电力电子技术期末考试试题及答案

电力电子技术试题 第1章 电力电子器件 1.电力电子器件一般工作在__开关__状态。 5.电力二极管的工作特性可概括为_承受正向电压导通,承受反相电压截止_。 6.电力二极管的主要类型有_普通二极管_、_快恢复二极管_、 _肖特基二极管_。 8.晶闸管的基本工作特性可概括为 __正向电压门极有触发则导通、反向电压则截止__ 。 18.在如下器件:电力二极管(Power Diode )、晶闸管(SCR )、门极可关断晶闸管(GTO )、电力晶体管(GTR )、电力场效应管(电力MOSFET )、绝缘栅双极型晶体管(IGBT )中,属于不可控器件的是_电力二极管__,属于半控型器件的是__晶闸管_,属于全控型器件的是_ GTO 、GTR 、电力MOSFET 、IGBT _;属于单极型电力电子器件的有_电力MOSFET _,属于双极型器件的有_电力二极管、晶闸管、GTO 、GTR _,属于复合型电力电子器件得有 __ IGBT _;在可控的器件中,容量最大的是_晶闸管_,工作频率最高的是_电力MOSFET ,属于电压驱动的是电力MOSFET 、IGBT _,属于电流驱动的是_晶闸管、GTO 、GTR _。 2、可关断晶闸管的图形符号是 ;电力场效应晶体管的图形符号是 绝缘栅双极晶体管的图形符号是 ;电力晶体管的图形符号是 ; 第2章 整流电路 1.电阻负载的特点是_电压和电流成正比且波形相同_,在单相半波可控整流电阻性负载电路中,晶闸管控制角α的最大移相范围是_0-180O _。 2.阻感负载的特点是_流过电感的电流不能突变,在单相半波可控整流带阻感负载并联续流二极管的电路中,晶闸管控制角α的最大移相范围是__0-180O _ ,其承受的最大正反向电压均为_22U __,续流二极管承受的最大反向电压为__22U _(设U 2为相电压有效值)。 3.单相桥式全控整流电路中,带纯电阻负载时,α角移相范围为__0-180O _,单个晶闸管所承受的最大正向电压和反向电压分别为__222U 和_22U ; 带阻感负载时,α角移相范围为_0-90O _,单个晶闸管所承受的最大正向电压和反向电压分别为__22U _和__22U _;带反电动势负载时,欲使电阻上的 电流不出现断续现象,可在主电路中直流输出侧串联一个_平波电抗器_。 5.电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压UFm 等于__22U _,晶闸管控制角α的最大移相范围是_0-150o _,使负载电流连 续的条件为__o 30≤α__(U2为相电压有效值)。 6.三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差_120o _,当它带阻感负载时,α的移相范围为__0-90o _。 7.三相桥式全控整流电路带电阻负载工作中,共阴极组中处于通态的晶闸管对应的是_最高__的相电压,而共阳极组中处于导通的晶闸管对应的是_最低_ 的相电压;这种电路 α 角的移相范围是_0-120o _,u d 波形连续的条件是_o 60≤α_。 8.对于三相半波可控整流电路,换相重迭角的影响,将使用输出电压平均值__下降_。 11.实际工作中,整流电路输出的电压是周期性的非正弦函数,当 α 从0°~90°变化时,整流输出的电压ud 的谐波幅值随 α 的增大而 _增大_,当 α 从90°~180°变化时,整流输出的电压 ud 的谐波幅值随 α 的增大而_减小_。 12.逆变电路中,当交流侧和电网连结时,这种电路称为_有源逆变_,欲实现有源逆变,只能采用__全控_电路;对于单相全波电路,当控制角 0< α < π /2 时,电路工作在__整流_状态; π /2< α < π 时,电路工作在__逆变_状态。 13.在整流电路中,能够实现有源逆变的有_单相全波_、_三相桥式整流电路_等(可控整流电路均可),其工作在有源逆变状态的条件是_有直流电动势,其极性和晶闸管导通方向一致,其值大于变流器直流侧平均电压_和__晶闸管的控制角α > 90O ,使输出平均电压U d 为负值_。 第3章 直流斩波电路 1.直流斩波电路完成得是直流到_直流_的变换。 2.直流斩波电路中最基本的两种电路是_降压斩波电路 和_升压斩波电路_。 3.斩波电路有三种控制方式:_脉冲宽度调制(PWM )_、_频率调制_和_(t on 和T 都可调,改变占空比)混合型。 6.CuK 斩波电路电压的输入输出关系相同的有__升压斩波电路___、__Sepic 斩波电路_和__Zeta 斩波电路__。 7.Sepic 斩波电路和Zeta 斩波电路具有相同的输入输出关系,所不同的是:_ Sepic 斩波电路_的电源电流和负载电流均连续,_ Zeta 斩波电路_的输入、输出电流均是断续的,但两种电路输出的电压都为__正_极性的 。 8.斩波电路用于拖动直流电动机时,降压斩波电路能使电动机工作于第__1__象限,升压斩波电路能使电动机工作于第__2__象限,_电流可逆斩波电路能使电动机工作于第1和第2象限。 10.复合斩波电路中,电流可逆斩波电路可看作一个_升压_斩波电路和一个__降压_斩波电路的组合;

《电工电子技术基础》教案课程.doc

周 授课 教学 执行 授课章节及内容摘要 学时 方式 课外作业及考核 次 情况 思考: 1、冬季穿脱毛衣时,静 1 绪论 安全用电常识 2 讲授 电有上千 v 的电压,为什么没有 出现电死人的情况? 2 15、 16 级德育体验周, 17 级军训 2 3 触电急救 2 电教 练习急救措施 4 第一章 电路的结构和常用基本 2 电教 课后习题一、二 物理量(电压、电流) 5 国庆、中秋放假 2 6 电路的相关物理量(电位、电动 2 讲授 课后习题三 1、 2、 3、 4 势、电能 ) 7 电阻串、并联电路的结构与作用 2 讲授 练习册 1.3、 1.4 8 秋季田径运动会 2 9 电路相关名词及基尔霍夫电压、 2 讲授 练习册 1.5 一、填空题 电流定律 10 第二章 磁场及电磁感应 2 电教 练习册 2.1、 2.2 11 半期复习与测试 2 测试 半期测试题 12 第三章 电容、 电感的概念、 参数 2 电教 课后习题一 、二、 标注及应用 13 第四章单相正弦交流电路的概 2 电教 练习册 4.1 念、相关物理量 14 正弦交流电的表示法 2 讲授 课后习题 一、二、 15 纯电感、纯电容电路的结构及功 2 电教 课后习题 三、四 率 16 纯电阻电路的结构及功率、电路 2 讲授 练习册 4.2 4.5 的功率因数 17 第五章三相交流电源的产生与应 2 讲授 课后题一、二、三 用 18 三相负载的连接 2 电教 练习册 5.1 一、 19 三相电功率 2 讲授 练习册 5.1 二、三 5.2 一、 二、 三

电子技术基础考试试题及参考答案

电子技术基础考试试题及参考答案 试题 一、填空题(每空1分,共30分) 1.硅二极管的死区电压为_____V,锗二极管的死区电压为_____V。 2.常用的滤波电路主要有_____、_____和_____三种。 3.晶体三极管的三个极限参数为_____、_____和_____。 4.差模信号是指两输入端所施加的是对地大小_____,相位_____的信号电压。 5.互补对称推挽功率放大电路可分成两类:第一类是单电源供电的,称为_____电路,并有_____通过负载输出;第二类是双电源供电的,称为_____电路,输出直接连接负载,而不需要_____。 6.功率放大器主要用作_____,以供给负载_____。 7.集成稳压电源W7905的输出电压为_____伏。 8.异或门的逻辑功能是:当两个输入端一个为0,另一个为1时,输出为_____;而两个输入端均为0或均为1时,输出为_____。 9.(1111)2+(1001)2=( _____ )2(35)10=( _____ )2 (1010)2–(111)2=( _____ )2(11010)2=( _____ )10 (1110)2×(101)2=( _____ )2 10.逻辑函数可以用_____、_____、_____等形式来表示。 11.组合逻辑电路包括_____、_____、_____和加法器等。 二、判断题(下列各题中你认为正确的,请在题干后的括号内打“√”,错误的打“×”。全打“√”或全打“×”不给分。每小题1分,共10分) 1.放大器采用分压式偏置电路,主要目的是为了提高输入电阻。() 2.小信号交流放大器造成截止失直的原因是工作点选得太高,可以增大R B使I B减小,从而使工作点下降到所需要的位置。() 3.对共集电极电路而言,输出信号和输入信号同相。() 4.交流放大器也存在零点漂移,但它被限制在本级内部。() 5.同相运算放大器是一种电压串联负反馈放大器。() 6.只要有正反馈,电路就一定能产生正弦波振荡。() 7.多级放大器采用正反馈来提高电压放大倍数。() 8.TTL集成电路的电源电压一般为12伏。() 9.流过电感中的电流能够突变。() 10.将模拟信号转换成数字信号用A/D转换器,将数字信号转换成模拟信号用D/A转换器。() 三、单选题(在本题的每小题备选答案中,只有一个答案是正确的,请把你认为正确答案的代号填入题干后的括号内,多选不给分。每小题2分,共26分) 1.用万用表测得某电路中的硅二极管2CP的正极电压为2V,负极电压为1.3V,则此二极管所处的状态是() A.正偏B.反偏C.开路D.击穿 2.放大器的三种组态都具有() A.电流放大作用B.电压放大作用 C.功率放大作用D.储存能量作用 3.下列各图中,三极管处于饱和导通状态的是()

电力电子技术模拟试题三

二、单项选择题(每小题1分,共16分)在每小题烈出的四个选项中只有一个选项是符合题 目要求的,请将正确选项前的字母填在题后的括号内。 功率晶体管GTR 从高电压小电流向低电压大电流跃变的现象称为 ①一次击穿 ②二次击穿 ③临界饱和 逆导晶闸管是将大功率二极管与何种器件集成在一个管芯上而成 ①大功率三极管 ②逆阻型晶闸管 ③双向晶闸管 在晶闸管应用电路中,为了防止误触发应将幅值限制在不触发区内的信号是( ) ①干扰信号 ②触发电压信号 ③触发电流信号 ④干扰信号和触发信号 当晶闸管随反向阳极电压时,不论门极加何种极性触发电压,管子都将工作在( ①导通状态 ②关断状态 ③饱和状态 单相半波可控整流电阻性负载电路中,控制角a 的最大移相范围是( ① 90° ② 120° ③ 150 ° 单相全控桥大电感负载电路中,晶闸管可能随的电大正向电压为( ① T U2 ② 2U 2 ③ 2.2U 2 单相全控桥电阻性负载电路中,晶闸管可能随的电大正向电压为( 厂 L ① 2U 2 ②2 2U 2 ③ 2 U2 单相全控桥式整流大电感负载电路中,控制角a 的移相范围是( ①0° ~90° ②0° ~180° ③90° ~180° 单相全控桥反电动势负载电路中, 当控制角a 大于不导电角3时, 15. 16. 17. 18. 19. 20. 21. 22. 23. ) ④反向截止 () ④可关断晶闸管 P. H / ④不定 ) ④ 180° ) ④6U 2 ) ④6U 2 ) ④ 180° ~360° 晶闸管的导通角9= 电力电子技术模拟试卷四 (考试时间 150 分钟) 、填空题(每小题 1分,共 14分) 1. 1 . 三相半波可控整流电路中的三个晶闸管的触发脉冲相位按相序依次互差 。 2. 2 . 功率集成电路PIC 分为二大类,一类是高压集成电路,另 。 一类是 3. 3. 电阻性负载三相半波可控整流电路中,晶闸管所承受的最大正向电压 U Fm 等 于 ,设U 2为相电压有效值。 4. 4. 同一晶闸管,维持电流I H 与擎住电流I L 的数值大小上有I L I H 。 5. 5. 对于三相半波可控整流电路,换相重叠角的影响,将使输出电压平均值 。 6. 6. 晶闸管串联时,给每只管子并联相同阻值的电阻 R 是 措 施。 7. 7. 三相全控桥式变流电路交流侧非线性压敏电阻过电压保护电路的连接方式有 —种方式。 & 8 . 晶闸管断态不重复电压U DSN 与转折电压U BO 数值大小上应为, U DSM _ U BO 。 9. 9. 抑制过电压的方法之一是用 吸收可能产生过电压的能量, 并用 电阻将其消耗。 10?为了利于功率晶体管的关断,驱动电流后沿应是 ______________________________________ 。 11. ____________________________________________________________ 改变SPWM 逆变器中的调制比,可以改变 ________________________________________________________________ 的幅值。 12. 180°导电型电压源式三相桥式逆变电路, 其换相是在 的上、下二个开关元 件之间进行。 13. ____________________________________________________________ 恒流驱动电路中抗饱和电路的主要作用是 ________________________________________________________________ 。 14. ____________________________________________________________________ 功率晶体管缓冲保护电路中的二极管要求采用 ____________________________________________________________ 型二极管,以便与功 率晶体管的开关时间相配合。

相关主题
文本预览
相关文档 最新文档