当前位置:文档之家› 系统设计报告 (1)

系统设计报告 (1)

系统设计报告 (1)
系统设计报告 (1)

系统设计报告

目录

在做任何项目之前必须要作设计,旅游系统也一样,在做旅游系统之前也必须先进行系统设计。系统设计是系统实现的关键步骤,直接影响系统的质量。如

果说在系统需求分析阶段已经完全弄清楚了系统的各种需求,就较好地解决了所设计的系统“做什么”的问题,并已在系统需求说明书中详尽和充分地阐明了这些需求,那么下一步就要着手对旅游系统的功能结构、数据结构等进行设计,即系统设计阶段要解决“怎么做”的问题,并最终通过“设计模型图”来反映设计的结果。

第一章总体设计

系统设计的原则

设计遵义旅游系统是为服务用户、满足用户的需求、解决实际问题而设计的,因此,在系统开发过程中,不仅要以先进理论来指导,主流技术来支撑,而且要市场为导向,把握市场的动态,以用户为中心,满足用户的需求,开发出界面美观大方、功能强大完善、使用方便的系统。据此,通过综合的考虑旅游系统的系统目标和项目需求,在系统设计时,应遵循以下设计原则:

(一)实用性原则。

旅游系统的设计目的之一就是要优化旅游系统管理的各个环节,运用计算机,因为本系统是针对遵义地区的旅游系统,所以为方便信息查询,在本系统中提供了相应的交通信息和遵义特色节日等模块,对于航班信息、长途客运信息和火车信息都做了具体介绍,对于旅游常见问题和旅游疑问解答也在此功能中得到解决。因此,实用性原则是本系统的一个重要设计原则。

(二)先进性原则。

在强调实用性的同时,我们还要考虑系统的先进性。今时今日,信息化的发展速度令世人惊叹,每一次技术上的更新与进步都会推动信息化建设的跳跃式发展。所以,为了与时俱进,有一个较高的起点,在本系统的设计过程中我采用目前较为先进和成熟的技术和理念,使系统在性价比上拥有一定的优势。

(三)规范性原则。

系统中的所有设计都遵循现有的国家标准、行业标准,符合软件工程中的设计原则与规范。所有文档的书写都遵照国标的要求。

(四)安全性原则。

系统提供安全机制以防止非法授权操作,为各子系统提供严格灵活的用户和权限划分,对于数据库管理,建立起完备的数据备份恢复机制。对关键的信息的操作提供日志记录。

(五)可扩展性原则。

出于对后续升级工作的考虑,系统的所有功能模块的设计都预留了扩展接口。随着用户需求的不断变化和增加,遵义特殊旅游系统的业务处理需求逐渐提高,旅游信息处理量也会随之增加,这就需求系统能够实现快速便捷地扩展,完成系统的平滑升级。

(六)可维护和可管理性原则

这要求系统能够实现对设备的便捷管理和时时监控,时时掌握设备的情况,遇到问题能进行报警处理、会话控制,以及通过性能分析,实现系统的优化、故障的隔离及故障的恢复,尽可能缩短维护时间,提高系统可维护性,延迟可系统使用时间。

(七)系统模块化设计原则

模块化即以功能块为单位进行程序设计,实现其求解算法的方法。模块化的目的是为了降低程序复杂度,使程序设计、调试和维护等操作简单化。因此,系统采用模块化设计原则,增强了系统的灵活性和可扩展性。遵义特殊旅游系统的业务范围发生变化时,系统的功能也可根据实际变化情况进行调整。

系统体系结构设计

浏览器-服务器(Browser /Server, B/S)体系结构如下图所示。

图1-1 B/S体系结构图

图1-2 B/S三层架构模型图

在系统中,采用B/S的三层体系结构,如上图所示,将系统的整个业务应用划分为表示层、业务逻辑层和数据访问层,这样有利于系统的开发、维护、部署和扩展。B/S 结构的基本原则是将计算机应用任务分解成多个子任务,由多台计算机分工完成,即采用“功能分布”原则。客户端完成数据处理,数据表示以及用户接口功能;服务器端完成DBMS的核心功能。这种客户请求服务、服务器提供服务的处理方式是一种新型的计算机应用模式。

B/S结构的优点:

1、交互性强。在B/S模式中,客户端有一套完整的应用程序,在出错提示、在线帮助等方面都有强大的功能,充分的发挥客户端的处理能力;

2、安全性高。由于B/S是配对的点对点的结构模式,采用适用于局域网、安全性比较好的网络协议,具有较高的安全性;

3、网络通信量少。B/S的网络通信量只包括客户端与服务器之间的通信量。因而,B/S具有较少的网络通信量,具有较强的数据处理能力;

4、数据处理能力强。由于B/S的三层逻辑结构处理数据时,处理速度较快,更适合处理大量数据。

其缺点:只适用于局域网、客户端和服务端需要安装专用的客服端软件等,正好满足了遵义特色旅游系统的安全性的要求。

图1-3系统结构图

如上图所示,系统一共分为三层:表现层、业务逻辑层、数据层。其中表现层使用业务逻辑层、业务逻辑层使用数据层。表现层主要包括展现界面的UI展示类,业务逻辑层包括实体类和服务类,数据层包括映射类和数据控制类。业务层依赖WEB GUI得以展现,数据层依赖技术。三层结构都建立在 framework的基础之上。

系统功能架构设计

遵义特色旅游系统是面向所有的游客,为游客提供对遵义特色旅游的各项功能。根据现在对旅游信息管理的需求,我们对遵义景点、酒店、交通、旅游常见问题和遵义特色节日等旅游相关信息的进行管理。主要包括遵义景点信息管理、酒店信息管理、交通信息管理、管理员信息管理、旅游服务信息管理以及遵义特色节日信息管理和退出系统管理。这七个部分互相协作共同实现遵义特色旅游管理的电算化、精细化和科学化。如图4-4的系统总体结构图,表示了遵义特色旅游系统的主要的七大模块功能。

图1-4 系统总体模块结构图

图1-5系统总包图

如上图所示,系统一共分为两个子系统,业务管理子系统和后台管理子系统。其中,业务管理子系统又分为游客信息管理、查询信息管理、景点信息管理、查询统计管理、数据管理等五个模块。后台管理子系统为系统管理等模块。

第二章功能模块设计

系统管理模块

功能结构设计

系统管理提供支撑包括住房资金管理系统在内的各功能模块正常运行的各项基础和通用功能,完成遵义特色旅游系统的系统管理、数据管理等一系列操作,其功能结构图如图1-6所示。

图 1-6 系统管理功能结构图

系统管理的主要功能有:创建年度、删除年度、设置当前年度、单位维护、本级单位设置、用户权限管理、日志管理、系统初始化功能。

1、创建年度

创建年度功能,用于新建遵义特色旅游系统的工作年度,并导入新年度的公用基础数据。

创建年度时,主要有两种个选项:

一是选择输入新的工作年度;

二是确认新建年度是否需要继承以往年度(通常是上年度)的相关数据(主要是单位信息、公用标准数据等,不包括个人账户数据),如果要继承,需要输入相应的年度。

对于个游客信息管理系统而言,创建新工作年度,对当前系统工作年度没有影响,也不做更换年度处理。新年度的个人账户数据,通过游客管理系统中的“数据结转下年”功能来产生,与此同时完成系统的更换年度处理,并将系统当前工作年度自动设为下一个年度。

2、删除年度

删除年度功能,提供按年度删除系统年度数据库的功能。必要时(如系统数据的年份超过了规定的数据保存期限),使用该功能既可节约数据存储空间,又可在一定程度上提高系统运行速度。在执行“删除年度”操作时,选择需要删除的工作年度,点击“确认”按键,即可完成年度删除。

3、单位维护

单位维护功能,提供修改、扩充本单位对应的“单位代码表”。“单位代码表”通常由本级单位及其下级直供单位构成。本级单位的单位代码,系统统一编为“00”,本级的下属单位依次编码为“01”、“02”、“03”……等。

4、用户权限管理

为了维护系统的安全,只有经过授权的用户才能进入遵义特色旅游系统,进行相应的操作。

系统将用户分为三类角色:

系统管理员:具有全部最高权限,可以进行系统全部操作。

业务人员:能进行全部业务操作,但不能进行系统管理操作。

查询游客:只能进行指定的查询操作。

进行详细的单项功能授权时,对于某一项具体的功能,分为三中权限:查询、编辑、无权访问。

(1)查询权限:只能查看该项数据,但不能进行编辑操作。

(2)编辑权限:可以进行增、删、改操作。

(3)无权访问:不能进入该功能模块。

5、本级单位设置

用于建立了游客账户,如果已经建立,那么输入个人账户建立的时间。

6、日志管理

为了方便的进行系统管理,系统对一些重要的操作进行日志记录。通过日志管理功能可以查看系统日志、设置系统日志的保留时间等。在系统中,可以设置系统日志的保留天数。设置保留天数后,超过该天数的系统日志将被删除,以免系统日志记录过多,影响系统性能。

7、系统初始化功能

系统初始化功能将清除系统中的所有数据,只有系统管理员才能进行此操作。

数据管理,提供对系统数据进行备份、数据恢复、制作上报盘、接收上报盘等功能。

1、数据备份

数据备份功能是对当前工作年度的系统数据进行备份,以便需要时通过“数据恢复”功能,将系统数据恢复至备份时的状态。

首先,对于数据备份内容分为三类:账户数据、报表数据然后,对于备份数据文件存取路径和文件名,可点击文件夹图标,得到系统默认文件存取路径和文件名,也可备份到指定的目录下。

2、数据恢复

数据恢复功能是提供将备份数据导入系统,使系统恢复至备份时的状态。系统管理员可根据备份软盘或其他存储介质上的备份数据进行数据恢复。

3、生成上报盘

生成上报盘,提供按所查询信息遵义特色旅游系统的数据,将由上级供应单位使用“接收上报盘”功能予以接收、合并。制作上报盘时,可以选择上报数据的类型,可以单独上报预算数据、决算与挂账数据,也可以两种数据都上报。

4、接收上报盘

接收上报盘,提供遵义特色旅游系统供应单位上报数据的功能。

类图设计

图1-7 系统管理模块类图

时序图设计

图1-8 系统管理时序图

旅游信息管理

功能结构设计

旅游信息管理为所以游客提供查看旅游信息、检索旅游信息、以及对旅游信息进行管理等,其功能结构图见下图。

图1-9 旅游信息管理功能结构图

旅游信息管理模块包括:景点信息查询模块、景点信息添加模块、景点信息修改模块和景点信息删除模块。具体功能如下:

景点信息查询:根据旅游信息景点的名称,或者景点所属类型进行相应的查询。也可以直接查询全部景点信息,同时可以查询相应景点的公交车信息。

景点信息添加:对新的景点信息进行录入。

景点信息修改:对景点信息的变更做出及时的修改。

景点信息删除:对景点信息进行删除。

旅游信息管理模块中各子模块的实际意义是当管理员在管理旅游信息的时候,可以快速、便捷地将景点信息添加的系统中,同时对信息进行维护和管理,并与数据库中数据相连接,这样不仅方便游客浏览,还提高了信息的准确性。管

理员还可以对景点信息进行查询,确保景点中信息的准确性、及时性,从而保证系统的准确性和完整性。

类图设计

图1-10 旅游信息管理类图

时序图设计

图1-11 遵义旅游信息管理时序图

酒店信息管理

功能结构设计

酒店信息管理模块中各子模块的实际意义是当管理员在管理酒店信息的时候,可以快速、便捷地将酒店信息添加的系统中,同时对信息进行维护和管理,当此酒店更名或动迁时,也可以及时的对系统中的相应信息进行修改,提高信息的准确性。管理员还可以直接对酒店信息进行查询,确保酒店信息的准确性,从

而保证系统的准确性和完整性。信息的添加和修改与后台数据库进行动态的连接,其功能结构图如下图所示。

图1-12酒店信息管理功能模块图

酒店信息管理模块主要是对酒店的信息进行管理和维护。

酒店信息模块包括:酒店信息查询模块、酒店信息添加模块、酒店信息修改模块和酒店信息删除模块,具体功能如下:

酒店信息查询:根据酒店的名称,或者酒店所属的级别进行相应的查询。也可以直接查询全部酒店信息,也可以根据酒店信息查询到就近的景点信息。

酒店信息添加:添加新加入的酒店信息。

酒店信息修改:对于各酒店相关信息的变更做出及时的修改。

酒店信息删除:对于酒店信息进行删除。

类图设计

图1-13 酒店信息管理类图 审核表类

类的描述: 对酒店信息进行管理

操作描述

retired personnel examination () 获取遵义酒店信息 inquire about table () 查询审核情况 write again ()

重新审核

automatic generation of application form ()

自动由审核表生成查询信息表

automatic generation of annunciation form

()

自动由查询信息表生成数据库

时序图设计

图1-14 酒店信息管理时序图

景点公交信息管理

功能结构设计

景点公交信息模块中各子模块的实际意义是在管理员对其进行管理时,将公交车自身的信息添加的数据库中的相应表中。同时表中信息根据景点信息的变更

做相应的更改,即完成表和表之间的动态连接。同时也可根据自身的变化对其进行相应的修改和删除,当信息改变时,对于景点的公交信息也会产生相应的变化其功能结构图如图所示。

图1-15 景点公交信息管理功能结构图

景点公交信息管理模块主要是对景点公交信息的管理,同时包括公交本身信息的管理。

景点公交信息模块包括:景点公交信息查询模块、景点公交信息添加模块、景点公交信息修改模块和景点公交信息删除模块,具体功能如下:景点公交信息的查询:根据公交车经由景点或者公交车自身信息进行查询。

景点公交信息的添加:可以将公交车的基本信息录入到数据库中,也可以根据景点信息对公交车信息进行录入和完善。

景点公交信息的修改:根据景点的增加或删除以或者是公交线路本身的变化对公交车的基本信息进行相应的修改。

景点公交信息的删除:根据景点的删除或者是公交车的变更或取消将公交车的信息从数据库中删除。

类图设计

图1-16 景点公交信息管理类图

景点公交信息管理类

类的描述:景点公交信息管理

操作描述create form () 生成遵义公交表count form () 统计遵义公交表gather form () 汇总遵义公交表print form () 打印遵义公交表

时序图设计

图1-17 景点公交信息管理时序图旅游服务管理

功能结构设计

旅游服务信息管理模块中各子模块的实际意义是解决在旅游中出现的问题,并且说明一些旅游相关的信息,方便系统的管理,增强信息查询的功能,使其更加完善,如下图所示。

图1-18 旅游服务管理功能结构图

旅游服务信息管理模块主要包括两个方面:解决游客所提出的问题和介绍遵义专属的节日。①旅游常见问题模块包括:旅游常见问题的查询:可以精确和模糊查询相关信息。旅游常见问题的管理:对于问题进行添加和删除操作。

②遵义专属节日就只是查询属于遵义的节日。

类图设计

图1-19旅游服务管理类图

住房资金决算类

类的描述:住房资金决算

操作描述create form () 生成遵义旅游服务信息表count form () 统计遵义旅游服务信息表gather form () 汇总遵义旅游服务信息表print form () 打印遵义旅游服务信息表inquire about form () 查询遵义旅游服务信息表

时序图设计

图1-20旅游服务信息管理时序图

退出系统管理

功能结构设计

系统用户可以根据自己的需要来进行关闭系统。关闭系统时会出现提示对话框,选择确定后系统就会安全的退出了。如下图所示

图1-21 退出系统管理功能结构图

类图设计

图1-22 退出系统管理类图住房资金挂账类

类的描述:住房资金挂账

操作描述create form () 生成所查询的信息表count form () 统计所查询的信息表gather form () 汇总所查询的信息表print form () 打印查询的信息表inquire about form () 检索查询表

时序图设计

图1-23 退出系统管理时序图

第三章数据库设计

本系统是遵义特色旅游数据库管理系统,下面详细地介绍一下本系统的数据库结构设计。

数据库概念结构设计

实体属性图

各实体属性图如图1-24~图1-所示。

图1-24旅游信息实体属性图

图1-25酒店实体属性图

图1-26公交实体属性图

图1-27 长途客运实体属性图

图1-28 火车实体属性图

图1-29 航班实体属性图

图1-30 人员实体属性图

图1-31 旅游信息各职能局部E-R图

图1-32 系统总体E-R图

局部E-R图

旅游信息管理系统对于相关的信息有不同的要求,包括:景点信息和公交信息的管理,景点信息和酒店信息的管理,公交信息和交通信息的管理,旅游信息和旅游常见问题的管理。(如图1-31所示)。

总体E-R图

旅游信息管理系统总体E-R图(如图1-32所示)。

数据库逻辑结构设计

关系模型创建

本系统包括8个实体,转换后的关系模式如下(加下划线的为主键):

景点信息(景点编号,景点名称,景点类别,详细信息,门票价格,乘车路线)

景点公交信息(线路名称,景点名称,全程站点,始末车时间,投币票价,IC卡票价)

酒店信息(酒店名称,酒店级别,相信信息,酒店地址,房间设置,预订电话)

公交(线路名称,所到景点,全程站点,始末车时间,投币票价,IC卡票价)

航班(航次,目的地,每日航班数,头等舱票价,商务舱票价,经济舱票价)长途客运(路线名称,遵义发车时间,对向发车时间,车型,全程时长,单程票价,往返票价,学生票价)

火车(车次,路线名称,遵义发车时间,终到时间)

问题(旅游常见问题,解决方案)

关系规范化分析

景点信息、酒店信息、公交信息、其它交通信息等都满足第3范式的要求。

数据表的创建

根据系统E-R图,本系统数据库需要创建9个表:景点信息表、酒店信息表、景点公交信息表、火车信息表、长途客运信息表、飞机信息表、旅游常见问题信息表、遵义专属节日信息表、管理员表。用于存放旅游信息、酒店信息、景点公交信息、其它交通信息、旅游服务信息等。

景点信息表

创建旅游信息表t_travel。此表包括6个字段,其中f_id是此表的主键,详细描述如表4-1所示。

表1-10 t_travel表的定义

字段名称数据类

长度是否为空是否为主键说明

f_id 自动编

50 否是景点编号

f_travelname 文本50 否否景点名称

f_traveltype 文本50 否否类型

f_travelinfo 文本255 否否详细信息

f_travelprice 文本100 否否门票价格

f_travelbus 文本255 否否乘车路线

酒店信息表

创建酒店信息表t_hotel。此表包括6个字段,其中f_hotelname是此表的主键,详细描述如下表所示。

表1-11 t_hotel表的定义

字段名称数据类型长度是否为空是否为主键说明

f_hotelname 文本50 否是酒店名称

f_hotelgrade 文本50 否否级别

f_hotelinfo 文本255 否否详细信息

f_hoteladd 文本100 否否地址

f_hotelroom 文本255 否否房间设置

f_hoteltel 数字50 否否预订电话

景点公交信息表

创建公交信息表t_bus。此表包括6个字段,其中f_busname是此表的主键,详细描述如下表所示。

表1-12 t_bus表的定义

字段名称数据类型长度是否为空是否为主键说明

f_busname 文本50 否是线路名称

f_bustravel 文本255 否否所到景点

f_busline 文本255 否否全程站点

f_bustime 文本255 否否始末车时间

f_price1 文本50 否否投币票价

f_price2 文本50 否否IC卡票价

火车信息表

创建火车信息表t_train。此表包括6个字段,其中f_trainno是此表的主键,详细描述如下表所示。

表1-13 t_train表的定义

字段名称数据类型长度是否为空是否为主键说明

f_trainname 文本50 否否线路名称

f_trainno 文本50 否是车次

f_traintime1 文本50 否否遵义发车时

f_traintime2 文本50 否否对向发车时

长途客运信息表

创建长途客运信息表t_lbus。此表包括8个字段,其中f_lbusname是此表的主键,详细描述如下表所示。

表1-14 t_lbus表的定义

字段名称数据类型长度是否为空是否为主键说明

f_lbusname 文本50 否是客车目的地

f_lbustime1 文本50 否否遵义发车时间

f_lbustime2 文本50 否否对向发车时间

f_lbustype 文本50 否否客车类型

f_lbusdistanc

文本50 否否运行时间e

f_price1 文本50 否否单程票价

f_price2 文本50 否否往返票价

f_price3 文本50 否否学生票价

航班信息表

创建航班信息表t_plane。此表包括5个字段,其中f_planename是此表的主键,详细描述如下表所示。

表1-15 t_plane表的定义

字段名称数据类型长度是否为空是否为主键说明

f_planename 文本50 否是名称

f_planeorder 文本50 否否每日航班数

f_planeprice1 文本50 否否头等舱票价f_price2 文本50 否否商务舱票价

f_plane3 文本50 否否经济舱票价

旅游常见问题表

创建旅游常见问题信息表t_problem。此表包括2个字段,其中f_title是此表的主键,详细描述如下表所示。

表1-16 t_problem表的定义

字段名称数据类型长度是否为空是否为主键说明

f_title 文本255 否是标题

f_content 文本255 否否内容

遵义专属节日表

创建遵义专属节日信息表t_festival。此表包括2个字段,其中f_name是此表的主键,详细描述如下表所示。

表1-17 t_festival表的定义

字段名称数据类

长度

是否为

是否为主键说明

f_name 文本255 否是名称

f_content 文本255 否否内容

管理员表

创建系统用户表t_user。此表包括2个字段,其中f_username是此表的主键,详细描述如下表所示。

表1-18 t_user表的定义

字段名称数据类型长度是否为空是否为主键说明

f_username 文本50 否是用户名

f_password 文本50 否否密码

数据库的创建

数据库的创建设计所用的9个表:

(1)创建景点信息表t_travel。此表包括6个字段,其中f_id是此表的主键,分别包括字段f_id(景点编号),f_travelname(景点名称),

f_traveltype(景点类型),f_travelinfo(详细信息),f_travelprice(门票价格),f_travelbus(所到公交)。

(2)创建酒店信息表t_hotel。此表包括6个字段,其中f_hotelname是此表的主键,分别包括字段f_hotelname(酒店名称),f_hotelgrade(酒店级别),f_hotelinfo(详细信息),f_hoteladd(酒店地址),f_hotelroom(房间设置),f_hoteltel(预订电话)。

(3)创建景点公交信息表t_bus。此表包括6个字段,其中f_busname是此表的主键,分别包括字段f_busname(线路名称),f_bustravel(所到景点),f_busline(全程站点),f_bustime1(始末车时间),f_busprice1(投币票价),

f_busprice2(IC卡票价)。

(4)创建航班信息表t_plane。此表包括6个字段,其中f_id是此表的主键,分别包括字段f_id(航次),f_planename(目的地),f_planeorder(每日航班

数),f_planeprice1(头等舱票价),f_price2(商务舱票价),f_price2(经济舱票价)。

(5)创建长途客运信息表t_lbus。此表包括8个字段,其中f_lbusname 是此表的主键,分别包括字段:f_lbusname(线路名称),f_lbustime1(遵义发车时间),f_lbustime2(对向发车时间), f_lbustype(车型),f_lbusdistance(全程时长),f_price1(单程票价),f_price2(往返票价),f_price3学生票价)。

(6)创建火车信息表t_train。此表包括4个字段,其中f_trainno是此表的主键,分别包括字段f_trainname(目的地),f_trainno(车次),f_traintime1(发车时间),f_traintime2(终到时间)。

(7)创建遵义节日信息表t_festival。此表包括1个字段,为f_name(节日信息)。

(8)创建旅游常见问题信息表t_problem。此表包括2个字段:f_title(标题),f_content (内容)。

(9)创建管理员信息表t_user。此表包括2个字段,其中f_uesrname是此表的主键,分别包括字段f_username(用户名),f_password(密码)。

遵义特例旅游系统界面

】】

图1-33 遵义特色旅游系统界面图

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

系统设计报告模板范文

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 1.1系统功能模块结构图 1.2系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 5.1概念结构设计 5.2逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 1.1系统功能模块结构图 图1 系统功能模块结构图 1.2系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。

2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。 4、报表管理模块 该模块主要经过查询条件,对各种信息进行查询,并将得到的结果导出Excel表、进行打印报表等操作(其中信息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、邮件管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送邮件。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。 2. 系统配置设计 硬件平台: CPU:P4 2.8GHz; 内存:2GB以上。 软件平台:

操作系统:Windows xp/ Windows 7/ Windows ; 数据库:SQL Server ; 浏览器:IE6.0,推荐使用IE8.0; Web服务器:IIS5.0; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常见的一种描述方法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

计算机系统设计报告书模板

课程设计报告目录

一、课程设计概述: 本次数据结构课程设计共完成三个题:一元稀疏矩阵多项式计算器、稀疏矩阵的操作、Josephu问题。 使用语言:C 编译环境:vc6.0 二、课程设计题目一 成绩分析文档资料 [问题描述] 录入、保存一个班级学生多门课程的成绩,并对成绩进行分析。 [需求分析] 1.通过键盘输入各学生的多门课程的成绩,建立相应的文件input.dat 2.对文件input.dat中的数据进行处理,要求具有如下功能: a.按各门课程成绩排序,并生成相应的文件输出 b.计算每人的平均成绩,按平均成绩排序,并生成文件 c.求出各门课程的平均成绩、最高分、最低分、不及格人数、

60-69分人数、70-79分人数、80-89分人数、90分以上人数 d.根据姓名或学号查询某人的各门课成绩,重名也要能处理 3.界面美观 [概要设计] -=ADT=- { Status CreateList(DataRecond* DR, int n); //创建成绩表 Status SortScore(DataRecond* DR,int n); //按各科成绩排序并存于文件 Status Partition(KeyWord* RL, int low, int high); //快速排序的第一趟 Status QSort(KeyWord* RL, int low, int high); //快速排序 Status QuickSort(KeyWord* RL,int n); //快速排序

Status EveryAvageScore(DataRecond* DR, int n); //计算每科平均成绩 Status CaluAverage(int *temp, int n); //计算平均成绩 Status ScoreProcess(DataRecond* DR); //成绩处理 Status MaxScore(int *temp, int n); //求最大分数 Status MinScore(int *temp, int n); //求最小分数 Status ScoreSegment(DataRecond* DR); //求分数段 Status Process(int *temp,int n); //主处理函数 Status NameQuery(DataRecond* DR); //按名字查找

数字系统课程设计报告

基于VHDL的交通灯控制器设计 作者:叶展(04008136) 杨运峰(04008137) 史泰龙(04008115)

目录 1.题目要求 (2) 2.方案设计 (2) (1)总体方案设计 (2) (2)单元模块设计 (5) (3)各单元模块的连接 (6) 3.仿真调试 (7) 4.设计总结 (9) (1)设计的小结和体会 (9) (2)对设计的进一步完善提出意见或建议 (9) 5.成员分工 (9) 6.参考文献 (10) 7.附录 (11)

一、题目要求 在两个相邻十字路口处各有四处交 通灯,标为A和B,每一处的要求如下: 每一处都有3个灯指示左转,直行和右转 车辆,并且灯也分红色和黄色绿色,并配 有时间显示,调研实际的运行情况并设计 出对应的电路。并且要完成以下附加功 能:第一,可以将系统根据时间来调整, 在白天某一路段比较繁忙对应的通行时 间较长,晚上因为另一路段繁忙则做适当 的调整;第二,如系统出现故障不能正常 显示,则黄灯全部闪烁以提醒车辆注意。 要求系统有一个系统时钟,按照24小时 计时,A处和B处早上7点到晚上8点, 南北方向绿灯通行时间为50秒,黄灯5 秒,左拐灯15秒,黄灯5秒,红灯40 秒。其余时间分别为60秒,5秒,20秒, 5秒,30秒。A处和B处的交通灯是联动 的,即A处驶往B处的车辆,在A处南北方向交通灯绿灯后20秒钟后B处的南北方向交通灯绿灯通行。 二、方案设计 (1)总体方案设计 我们小组成员展开讨论,结合本题目 的要求,并且参考了实际路灯的运行情况 和查阅了相关资料后,提出了一种切实可 行的路灯控制方案——即路灯八状态轮 换循环控制方案。 从单一方向上看,单个路口红绿灯转换顺序为:绿灯(50s)—黄灯(5s)—左拐灯(15s)—黄灯(5s)—红灯(40s)。(当此方向上路灯为红灯时,即40s的时间内,另一方向上的路灯要完成,绿灯(15s)—黄灯(5s)—左拐灯(15s)—黄灯(5s),的转换。) 当路况处于闲暇时间段的时候,路灯工作于另外一种时间机制。即,绿灯(60s)—黄灯(5s)—左拐灯(20s)—黄灯(5s)—红灯(30s)。(当此方向上路灯为红灯时,即30s的时间内,另一方向上的路灯要完成,绿灯(5s)—黄灯(5s)—

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

系统软件设计报告模板

(项目名 称) 系统设计报 告 (部门名称) 文件编号:TD202 文件版次:QMS2005

沈阳东软软件股份有限公司

修改记录

目录 0 报告编制要求 (5) 1 引言 (5) 1.1文档编制目的 (5) 1.2背景 (6) 1.3词汇表 (6) 1.4参考资料 (6) 2 总体设计 (6) 2.1软件体系结构 (6) 2.2系统运行体系 (6) 2.2.1运行体系图 (6) 2.2.2 程序/模块对应表 (7) 2.3系统物理结构 (7) 2.4技术路线 (7) 3 系统接口设计 (7) 3.1用户接口 (7) 3.2外部系统接口 (8) 3.3模块间接口 (8) 4 子系统/ 模块设计 (8) 4.1 子系统 /模块 1(编号 /名称) (9) 4.1.1 功能 (9) 4.1.2 性能 (9) 4.1.3模块结构 (9) 4.1.4 子模块接口设计 (9) 4.2子系统 /模块 2(编号 /名称) (9) 5 数据结构与数据库设计 (9) 5.1 面向对象数据的数据结构 (9) 5.2面向对象数据库设计 (10) 5.3数据安全性 (10) 5.4对象数据 /模块对应表 (10) 6 外部存储结构设计 (10) 7 故障处理说明 (10) 8 尚需解决的问题 (11) 9 附件 (11) 编写指南: 本模板力图给出系统设计阶段可能包括的基本信息,重点在于和需求分析文档相联系。描述系统整体

情况。如果某个章节在项目或当前阶段中无法描述,则可保留其标题,注明“不适用” ;如果需要对本模板的个别章节详细描述,也可将其形成单独的文档,成为本文档附件。 若文档中的某个章节已经在其他项目文档中加以描述,可保留标题,注明“参见(文档编号)(文档名称)(条款)”。 形成正式文档后须删除斜体字内容。 0 报告编制要求 这里列出本系统设计报告编制的经验性要求,须由系统设计人员参照其进行裁剪以确定本次报告编制的相关规定。 1引言 1.1文档编制目的 说明编写这份报告的目的,指出预期的读者 1.2背景叙述系统设计阶段的目标、作用范围以及其他应向读者说明的理解本报告所

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

XX系统应用系统安全设计报告(模板)

XX系统应用系统安全设计报告 XX公司 20XX年X月

目录 1.引言 (1) 1.1. 编写目的 (1) 1.2. 背景 (1) 1.3. 术语 (1) 1.4. 参考资料 (1) 2.总体安全设计 (1) 3.详细设计 (1) 3.1. 业务安全设计 (1) 3.2. 数据安全设计 (1) 3.3. 系统安全功能设计 (1) 3.3.1.用户认证安全设计 (2) 3.3.2.用户授权安全设计 (2) 3.3.3.访问控制安全设计 (2) 3.3.4.数据加/解密安全设计 (2) 3.3.5.数据签名/验签安全设计 (2) 3.3.6 (2) 3.4. 使用安全设计 (2)

1.引言 1.1.编写目的 描述编写文档的目的。 1.2.背景 描述本文档适用范围、场景等相关的背景信息,便于读者充分了解合计内容。 1.3.术语 描述文档中用到的专业术语及相关解释。 术语1:术语1的解释。 术语2:术语2的解释。 …… 1.4.参考资料 描述文档中使用的参考资料。 2.总体安全设计 描述应用系统总体安全设计方案以及关键技术描述。 3.详细设计 针对应用系统安全方面的内容进行详细描述。 3.1.业务安全设计 针对业务部门对应用系统提出的安全需求,描述对应的安全设计方案。 3.2.数据安全设计 针对数据保护的安全需求,描述数据安全设计方案。 3.3.系统安全功能设计

3.3.1.用户认证安全设计 描述用户认证方面采用的技术以及设计方案。 3.3.2.用户授权安全设计 描述用户授权方面采用的技术以及设计方案。 3.3.3.访问控制安全设计 描述访问控制方面采用的技术以及设计方案。 3.3. 4.数据加/解密安全设计 描述数据加/解密方面采用的技术以及设计方案。 3.3.5.数据签名/验签安全设计 描述数据签名/验签方面采用的技术以及设计方案。3.3.6.…… 描述其他安全功能设计方案。 3.4.使用安全设计 描述应用系统在使用方面采用的安全技术及设计方案。

软件详细设计报告文档模板

软件详细设计报告文档模板 1.引言 1.1编写目的 说明编写详细设计方案的主要目的。 说明书编制的目的是说明一个软件系统各个层次中的每个程序(每个模块或子程序)和数据库系统的设计考虑,为程序员编码提供依据。 如果一个软件系统比较简单,层次很少,本文件可以不单独编写,和概要设il?说明书中不重复部分合并编写。 方案重点是模块的执行流程和数据库系统详细设计的描述。 1.2背景 应包含以下几个方而的容: A.待开发软件系统爼称: B.该系统基本概念,如该系统的类型、从属地位等; C.开发项目组轻称。 1.3參考资料 列出详细设讣报告引用的文献或资料,资料的作者、标题、出版单位和出版日期等信息,必要时说明如何得到这些资料。 1.4术语定义及说明 列岀本文档中用到的可能会引起混淆的专门术语、左义和缩写词的原文。 2.设计概述 2.1任务和目标 说明详细设计的任务及详细设汁所要达到的目标。 1丄1需求概述

对所开发软件的槪要描述,包括主要的业务需求、输入、输出、主要功能、性能等,尤其需要描述系统性能需求。 1.1.2运行环境概述 对本系统所依赖于运行的硬件,包括操作系统、数据库系统、中间件、接口软件、可能的性能监控与分析等软件环境的描述,及配置要求。 1」.3条件与限制 详细描述系统所受的部和外部条件的约束和限制说明。包括业务和技术方而的条件与限制以及进度、管理等方而的限制。 1.1.4详细设计方法和工具 简要说明详细设计所采用的方法和使用的工具。如HIPO图方法、IDEF(I2DEF)方法、E-R图,数据流程图、业务流程图、选用的CASE I具等,尽量采用标准规和辅助工具。 3.系统详细需求分析 主要对系统级的需求进行分析。首先应对需求分析提出的企业需求进一步确认,并对由于情况变化而带来的需求变化进行较为详细的分析。 3.1详细需求分析 包括: ?详细功能需求分析 ?详细性能需求分析 ?详细资源需求分析 ?详细系统运行环境及限制条件分析 3.2详细系统运行环境及限制条件分析接口需求分析 包括: ?系统接口需求分析 ?现有硬、软件资源接口需求分析 ?引进硬、软件资源接口需求分析

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

系统设计报告模板

CRM系统设计 1. 功能模块划分及描述 1.1系统功能模块结构图 1.2系统功能模块描述 2. 系统配置设计 3.系统流程图设计 4. 代码设计 5. 数据库设计 5.1概念结构设计 5.2逻辑设计 6. 系统模块设计

1. 功能模块划分及描述 客户关系管理系统是一个典型的数据库开发应用程序,由客户管理模块、库存管理模块、服务管理模块、报表管理模块、email管理模块、用户管理模块组成,系统功能模块及描述如下。 1.1系统功能模块结构图 图1 系统功能模块结构图 1.2系统功能模块描述 1、客户管理模块 该模块主要功能是对客户信息、客户联系人信息、合同信息进行添加、删除、查询等操作。 2、库存管理模块 该模块的主要功能是管理入库、出库信息、产品信息进行管理,其中包括对库存信息、产品信息进行添加、删除、查询等操作。 3、服务管理模块 该模块主要功能是对客户反馈信息进行添加、删除、查询等操作。 4、报表管理模块

该模块主要通过查询条件,对各种信息进行查询,并将得到的结果导出Excel 表、进行打印报表等操作(其息包括:客户信息、联系人信息、反馈客户信息、库存信息)。 5、管理模块 该模块主要管理客户联系人email地址信息,对企业客户之间的email文件进行管理,向客户发送。 6、用户管理 该模块主要管理用户信息的添加、删除等操作,并设置用户的使用权限。2. 系统配置设计 硬件平台: CPU:P4 2.8GHz; 存:2GB以上。 软件平台: 操作系统:Windows xp/ Windows 7/ Windows 2003; 数据库:SQL Server 2000; 浏览器:IE6.0,推荐使用IE8.0; Web服务器:IIS5.0; 分辨率:最佳效果1024*768。 3.系统流程图设计 系统流程图又叫事务流程图,是在计算机事务处理应用进行系统分析时常用的一种描述法(另一个是数据流图),它描述了计算机事务处理中从数据输入开始到获得输出为止,各个处理工序的逻辑过程。 根据需求分析的要求对系统进行设计,系统流程图如图2:

数字电路课程设计--数字电子钟逻辑电路设计

数字电路课程设计报告设计课题:数字电子钟逻辑电路设计 班级:13级电子科学与技术 姓名: 学号: 指导老师: 设计时间:2016年1月18日~20日 学院:物理与信息工程学院

内容摘要 数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到了广泛的应用:小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。数字电子时钟是一个对标准频率(1Hz)进行计数的计数电路。通常使用石英晶体振荡器电路构成数字钟,以保证其频率的稳定。以16进制芯片74HC161设计成6或10进制来实现时间计数单元的计数功能。采用CD4511作为显示译码电路。选择LED数码管作为显示单元电路。由CD4511把输进来的二进制信号翻译成十进制数字,再由数码管显示出来。

目录 一、内容提要 二、设计任务和要求 三、总体方案选择的论证 四、单元电路的设计、元器件选择和参数计算 五、电路图 六、组装与调试 七、所用元器件 八、设计总结 九、附录 十、参考文献

数字电子钟逻辑电路设计 一、内容提要 本次课程设计的目的是通过设计与实验,了解CD4060、CD4511,74HC74、74HCl61、74HC00、74HC04等芯片的功能和管脚排列,进一步理解设计方案与设计理念,扩展设计思路与视野。 二、设计任务和要求 用中小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1Hz 标准秒信号。 2.秒、分为00—59六十进制计数器。 3.时为00—23二十四进制计数器。 4.周显示从1—日为七进制计数器。 5.可手动校正:能分别进行秒、分、时、日的校正。只要将开关置于手动位 置,可分别对秒,分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。

基于FPGA的现代数字系统设计实验报告

****大学 实验报告 课程名称:基于FPGA的现代数字系统设计 实验名称:基于HDL十进制计数显示系统设置 姓名: 学号: 班级: 指导教师: ****大学信息工程学院制

基于HDL十进制计数显示系统设置 一、实验要求 1.设计具有异步复位,同步使能的十进制计数器,其计数结果通过七段数码管,发光二极管等进行显示。 2.主要设计的模块有十进制计数模块和数码管驱动模块以及消抖模块。 3.需要将按键输入的时钟,然后通过消抖模块消抖后,再输出至后续使用。 4.共阴极数码管驱动。 二、实验原理 本次的设计是一个具有数显输出的十进制计数器。示意图如2.1所示。 图2.1 七段数码管属于数码管的一种,是由7段二极管组成。按发光二极管单元衔接方式分为共阳极数码管和共阴极数码管。本实验使用共阴数码管。它是指将一切发光二极管的阴极接到一同构成公共阴极(COM)的数码管。共阴数码管在应用时应将公共极COM接到地线GND上,当某一字段发光二极管的阳极为高电平相应字段就点亮,当某一字段的阳极为低电平相应字段就不亮。 显示译码器,用HDL语言判断CLK的上升沿信号,每次收到一次上升沿信号,计数器的值加一并显示在数码管上,所以本次实验会将十进制计数与七段数码管的显示分别写在两个模块里面。 系统模块划分及引脚连线图如下:

三、实验步骤(设计输入) 1.十进制计数器模块 module cnt10(clk,clr,ena,sum,cout); input clk,clr,ena; output [3:0] sum; output cout; reg [3:0] sum; reg cout; always @ (posedge clk or posedge clr) if(clr==1) begin sum <= 4'b0000; cout<= 1'b0;end else if(ena==1'b1) if(sum==4'b1001) begin sum <= 4'b0000; cout<= 1'b1; end else begin sum <= sum+1; cout<= 1'b0; end else begin sum <= sum; cout<= cout; end Endmodule 程序主要思路是用always等待clk与clr的上升沿信号,因为是异步清零所以在always 中也要等待clr的清零信号。用if语句判断clr信号,为1则清零。判断ena信号,为1进行计数操作,为0输出保持不变。计数中,判断sum的值如果为9时,进行进位操作,并将sum值赋值为0 2.数码管驱动模块 module led7 (sum ,out ); input [3:0] sum; output [6:0] out; reg [6:0] out ; always @(sum) begin out = {7{1'b0}};

相关主题
文本预览
相关文档 最新文档