当前位置:文档之家› FSK调制解调系统的建模与仿真

FSK调制解调系统的建模与仿真

FSK调制解调系统的建模与仿真
FSK调制解调系统的建模与仿真

黄石理工学院毕业设计(论文)

摘要

现代通信系统要求通信距离远、通信容量大、传输质量好。作为其关键技术之一的调制解调技术一直是人们研究的一个重要方向。本论文主要讨论和仿真了基于CPLD的FSK、4FSK系统单元设计,并对调制解调系统和CPLD设计方法进行了相关的研究。以VHDL作为设计的硬件描述语言,在Altera公司的Maxplus2开发平台上进行了程序设计及波形仿真。对各个模块进行CPLD的建模,然后对各个模块的设计内容进行了层层细化。最终达到模块的具体软硬件实现。

基于中压通信的特点,对中压信道噪声特性进行了总结研究,并在Maxplus2下实现仿真波形;对通信上现有调制解调技术进行比较总结,应用Maxplus2编程实现了FSK调制解调,并在实现单载波FSK调制解调基础上,实现了多载波FSK调制解调。介绍了采用多载波调制技术实现数字信号传输的基本原理及其优点;从理论上分析总结了中压信道及噪声特性,并结合均衡、编码,小波变换等技术,提出了进一步提高多载波调制系统性能的途径。

关键词: 频率键控系统;CPLD;MAXPLUS2;VHDL

目录

摘要 (1)

一、绪论 (1)

二、FSK频移键控(Frequency Shift Keying) (2)

2.1 FSK频移键控及信号产生原理 (2)

2.2 FSK信号的调制与解调 (4)

2.2.1 FSK信号的调制技术 (4)

2.2.2 FSK信号的解凋技术 (5)

三、系统的总体方案设计 (7)

3.1 MAXPLUS2系统简介 (8)

3.2 系统实现 (8)

四、系统电路模块的设计及仿真实现 (11)

4.1基于VHDL硬件描述语言的FSK程序设计 (11)

4.1.1 FSK调制模块及仿真 (11)

4.1.2 FSK解调模块及仿真 (15)

结论 (19)

参考文献 (20)

一、绪论

随着科学技术的进步,通信事业得到了飞速发展,信息的传送也由模拟传输转向数字传输,信息越来越多地作为数字脉冲之间的时间或相位的变化而传送出去。这样,对信号进行数字调制显的尤其重要,这种利用模拟调制方法随着现代调制方法的出现而陷入了困境。为了可靠地进行通信,现代调制方法更钟情于频率和相位调制。

计算机应用的日益普及,人们对计算机之间的数据传输要求越来越高,不仅向着高速、宽带、延迟小的方向发展,而且对数据传输方式的灵活性要求也越来越高。无线数据传输在人们的日常生活中正日益扮演越来越重要的角色;同时,无线数据通信的份额占整个数据通信领域的比重也正日益加重特别是在一些特殊的场合,如:博物馆、移动办公室、交通工具中等设施内,架设电缆往往不符合设施规范。无线数据传输设备变得必不可少了。

调制器是通信系统中必不可少的关键设备,其性能的好坏直接关系到整个系统的性能。我们设计的FSK调制系统具有抗干扰、抗噪声、抗衰减性能较强、技术复杂程度低、成本低等诸多优点,因而广泛应用与实际电路中。在中低速数据传输通信系统中得到了较为广泛的应用。此外,许多集成芯片里也用到了FSK调制技术。数字频率调制是数据通中常见的一种调制方式。频移键控(FSK—Frequency Shift Keying)方法简单,用不同频率的载波来传送数字信号,并用数字基带信号控制载波信号的频率,易于实现,并且解调不须恢复本地载波,可以异步传输,抗噪声和抗衰落性能也较强,传输距离远、误码率低等优点。因此,FSK调制技术在通信行业,特别是在衰落信道中传输数据时得到了广泛地应用,并且主要适用于用于低、中速数据传输。

一个系统的通信质量,很大程度上依赖于所采用的调制方式。但传统用硬件实现FSK的方法,特别是相干解调需要提取载波。设备相对比较复杂、成本较高。文中基于FPGA芯片。采用VHDL语言提出了一种FSK调制解调器的实现方法因此,对调制方式的研究,将直接决定着通信系统质量的好坏。现场可编程门阵列(CPLD)既具有很高的处理速度.又具有一定的灵活性。本课题就采用CPLD实现数字调制解调技术加以论述。

二、FSK频移键控(Frequency Shift Keying)

2.1 FSK频移键控及信号产生原理

所谓FSK就是用数字信号去调制载波频率,是数字信号传输中用的最早的一种调制方式。此方式实现起来比较容易,抗噪声和抗衰减性能好,稳定可靠,是中低速数据传输最佳选择。频移就是把振幅、相位作为常量,而把频率作为变量,通过频率的变化来实现信号的识别,原理如图2-1所示。在FSK中传送的信号只有0和1两个。输出后的2FSK波形如图2-2所示。

图2-1 FSK信号调制原理图

图2-2 调制后波形图

FSK信号的产生原理

用二进制数字信号m(t)进行调频,是使载波υ

C =V

c

cos(ω+φ)中的ω,当符号为1

时具有协l频率的信息,而当符号为0时具有ω2的信息,此调制称移频调制。产生波形如图2-3所示。

图2-3 FSK调制原理波形图

2.2 FSK信号的调制与解调

FSK是利用载波的频率变化来传递数字信息的一种非线性调制方法。在2FSK(二进制频移键控)系统中,使用两个不同频率的载波分别代表数字信号“0”和“1”,2FSK信号的解调和2FSK的调制是一个相反的过程。2FSK信号的躲调是从已调的载波信号中,恢复为调制前的基带信号“0”和“1”。

2.2.1 FSK信号的调制技术

(1)直接调频法

直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。直接调频法实现电路有许多。一般采用的控制方法是:当基带信号为正时(相当于‘1’码),改变振荡器谐振回路的参数·(电容或电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于‘0’码),改变振荡器谐振回路的参数,使振荡器的频率降低(设为f2)。从而实现了调频,这种方法产生的调频信号是相位连续的。虽然实现方法简单。但频率稳定度不高,同时频率转换速度不能太快。

(2)频率键控法

频率键控法也称频率选择法.图2-4是它实现的原理框图。

图2-4 频带键控法的原理框图

频率键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间.两个高频振荡的输出

电压通常不可能相等于是U。U

fsk (t)信号在基带信号变换时电压会发生跳变,这种

现象也称为相位不连续,这是频率键控特有的情况。

2.2.2 FSK信号的解凋技术

图2-5 VHDL描述FSK信号解调原理方框图

(1)同步解调法

同步解调中,FSK信号解调原理方框如图2-6所示。

图2-6 FSK信号同步解调原理方框图

从图2-6可见,FSK信号的同步解调分成上、下两个支路,输入的FsK信号经过f1和f2两个带通滤波器后变成了上、下两路ASK信号.之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。

当输入的FSK信号振荡频率为fl时.上支路经带通后由正弦信号Acos2πflt存在。与ASK系统接收到“l”码时的情况相似,经过低通滤波器,xl=A。而下支路带

通滤波器输出为0.与ASK系统接收到“0”码时相似,故x2=0,显然xl—x2=A一0>0.按判决准则判输入为fl;反之,当输人为f2时.xl=0,x2=A,Xl-X2=0-A<0,按判决准则应判输入为f2。因此可以判决出FSK信号。

(2)包络解调法

图2-7 FSK信号包络解调方框图

FSK信号包络解调方框图如图2-7所示。从图2-7可见,FSK信号包络解凋相当于两路ASK信号包络解调。用两个窄带的分路滤波器分别滤出频率为fl及f2的高频脉冲,经包络检波后分别取出它们的包络。把两路输出同时送到抽样判决器进行比较.从而判决输m基带数字信号。

有时称这种比较判别器的判决门限为零点平。当FSK信号为fl时.上支路相当于ASK系统接收“1”码的情况,其输出xl为正弦波加窄带高斯噪声的包络,它服从莱斯分布。而下支路相当于ASK系统接收“0”码的情况,其输出x2为窄带高斯噪声的包络,它服从瑞利分布。如果FSK信号为f2。上、下支路的情况正好相反,此时上支路输出的瞬时值服从瑞利分布.下支路输出的瞬时值服从莱斯分布。由以上分析可知.无论输出的FSK信号是f1或f2,两路输出总是一路为莱斯分布,另一路为瑞利分布。

(3)过零检测法

过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号

频率。输入u隙信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向宽脉冲,然后整流的单向宽脉冲,每个宽脉冲表示信号的一个过零点。宽脉冲的重复频率就是信号频率的二倍。将宽脉冲去触发一单稳态电路.产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲频率成正比,即与输入信号频率成正比。所以经过低通滤波器输出的平均分量的变化反映了输入信号频率的变化,这样就把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号,其结构图如图2-8所示。

图2-8 过零检测法方框图

三、系统的总体方案设计

3.1 MAXPLUS2系统简介

Altera公司的姒XPLUS2开发系统是一个完全集成化、易学易用的可编程逻辑设计系统。它可以在多种平台上运行。它拥有开放的界面,可与其他工业标准的设计输入、综合与校验工具相连接。其设计输入、处理和校验功能集成在统一的开发环境下n1。MAXPLUS2采用自顶向下的设计方法,设计流程为设计输入一项目编译一项目校验一器件编程。

1. 设计输入

MAXPLUS2软件的设计输入方法很多,主要有以下三种:原理图输入、文本输入和波形输入。文本设计输入方法主要用来实现以AHDL语言形式或VHDL语言形式书写的文件。MAXPLUS2波形编辑器用于建立和编辑波形文件。Compiler先进的波形综合算法根据用户定义的输入及输出波形自动生成逻辑关系。Compiler自动为状态机分配状态位和状态变量。

2. 项目编译

MAXPLUS2编译器可以检查项目中的错误并进行逻辑综合,将项目最终设计结果加载到Altera器件中去,并为模拟和编程产生输出文件。

3. 项目校验

设计校验过程包括设计仿真和定时分析,作用是测试逻辑操作和设计的内部定时,MAXPLUS2仿真器可以对编译期间生成的二进制仿真网表进行功能、定时的仿真。

4.器件编程

器件的编程是指MAXPLUS2 Programmer使用Compiler生成的编程文件对Altera

器件编程。编程过程可通过配套的编程适配器连接微机到应用板的JAG接口上来实现。

3.2 系统实现

由过零点检测原理可以知道,FSK信号的过零点次数反映了原始信号的规律,所以只要某种方法能够分析出FSK信号的过零点次数,然后根据调制时原始信号和调制频率的关系,就可以解调出原始信号。信号的解调框图如图3-1所示。因为FPGA

只能产生数字信号,所以假设图3-1中的调制信号FSK已经是经过数模转换的数字FSK信号。

图3-1 调制器系统

1. 时钟计数器C

由于要计算调制信号一个周期内的过零点次数,所以用时钟计数器来计算调制信号的周期,如果时钟频率与FSK调制信号频率f

clk

:f=1:11,那么表示每11个CLK 时钟周期发送一个FSK调制信号,即当q从0递增到10时,一个调制信号传输结束。

2 计数器Q

根据过零检测法原理,通过检测一个信号传输周期内的过零点次数来判断基带信号。由于上升沿的个数就相当于FSK信号过零点的个数,那么计数器的主要功能就是在调制信号的一个周期内,对信号X的上升沿进行计数

3. 判决器D

判决器的作用圭要是在调制信号的一个周期内,对计数器m的值进行判决。判

决门限值可以根据调制信号和基带信号的频率的比值决定。如f

1:f

clk

=5:1,f

2

f

clk

=2:1则判决门限值工可以选择.x=5也可以选择x=2。这样通过判决器就可以恢复出原始的基带信号。判决器的门限也可以选3或者4,选3或者4的好处是使得解调器有一定的容错能力,能更好的解调出基带信号。

4. 源程序代码(主体部分)

architecture fun of pl_fsk2 is

signal q:integer range 0 to 10;一分频计数器

signal x x.std—logic;一寄存器

signal m:integer range 0 tO 5;一计数器

begin

process(clk) 一对系统时钟进行q分频

begin

if clk’event and clk=’1’thenl xx<=fsk;

一在clk信上升沿时,fsk信号对中间信号赋值

if start=’0’then q<=O; --if语句完成Q的循环计数

else if q=lO then q<=O;

else q<=q+l;

end if;

end if;

end process;

process(xx,q) 一此进程完成FSK解调

begin

if q=lO then m<=0: --m计数器清零

else if q=9 then

if m<=3then y<=’0’; 一if语句通过对m大小,来判决y输出的电平else y<=’l’;

end if;

else if xx’event and xx=’l’

then m

end if;

end process;

end fun;

四、系统电路模块的设计及仿真实现

4.1基于VHDL硬件描述语言的FSK程序设计

4.1.1 FSK调制模块及仿真

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity PL_FSK is

port(clk :in std_logic; --系统时钟

start :in std_logic; --开始调制信号

x :in std_logic; --基带信号

y :out std_logic); --调制信号

end PL_FSK;

architecture behav of PL_FSK is

signal q1:integer range 0 to 11; --载波信号f1的分频计数器

signal q2:integer range 0 to 3; --载波信号f2的分频计数器

signal f1,f2:std_logic; --载波信号f1,f2

begin

process(clk) --此进程通过对系统时钟clk的分频,得到载波f1 begin

if clk'event and clk='1' then

if start='0' then q1<=0;

elsif q1<=5 then f1<='1';q1<=q1+1; --改变q1后面的数字可以改变,载波

f1的占空比

elsif q1=11 then f1<='0';q1<=0; --改变q1后面的数字可以改变,载波

f1的频率

else f1<='0';q1<=q1+1;

end if;

end if;

end process;

process(clk) --此进程通过对系统时钟clk的分频,得到载波f2 begin

if clk'event and clk='1' then

if start='0' then q2<=0;

elsif q2<=0 then f2<='1';q2<=q2+1; --改变q2后面的数字可以改变,载波

f2的占空比

elsif q2=1 then f2<='0';q2<=0; --改变q2后面的数字可以改变,载波

f2的频率

else f2<='0';q2<=q2+1;

end if;

end if;

end process;

process(clk,x) --此进程完成对基带信号的FSK调制begin

if clk'event and clk='1' then

if x='0' then y<=f1; --当输入的基带信号x=‘0’时,输出的

调制信号y为f1

else y<=f2; --当输入的基带信号x=‘1’时,输出的

调制信号y为f2

end if;

end if;

end process;

end behav;

其波形仿真图如图4-1所示。其中载波f1、也分别是通过对时钟clk信号6分频和2分频得到的;基带码长为载波f1的2个周期,为载波f2的6个周期;输出的调制信号y在时间上滞后于载波信号一个elk周期.滞后于系统时钟2个elk周期。

(a)FSK调制VHDL程序仿真全图

(b)FSK调制VHDL程序仿真局部放大图

图4-1 FSK调制VHDL程序仿真图

注:a. 载波f1、f2分别是通过对clk的12分频和2分频得到的。

b.基带码长为载波f1的2个周期,为载波f2的6个周期。

c.输出的调制信号y在时间上滞后于载波信号一个clk,滞后于系统时钟2个clk。

4.1.2 FSK解调模块及仿真

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity PL_FSK2 is

port(clk :in std_logic; --系统时钟

start :in std_logic; --同步信号

x :in std_logic; --调制信号

y :out std_logic); --基带信号

end PL_FSK2;

architecture behav of PL_FSK2 is

signal q:integer range 0 to 11; --分频计数器

signal xx:std_logic; --寄存器

signal m:integer range 0 to 5; --计数器

begin

process(clk) --对系统时钟进行q分频

begin

if clk'event and clk='1' then xx<=x; --在clk信上升沿时,x信号对中

间信号xx赋值

if start='0' then q<=0; --if语句完成Q的循环计数

elsif q=11 then q<=0;

else q<=q+1;

end if;

end if;

end process;

process(xx,q) --此进程完成FSK解调

begin

if q=11 then m<=0; --m计数器清零

elsif q=10 then

if m<=3 then y<='0'; --if语句通过对m大小,来判决y

输出的电平

else y<='1';

end if;

elsif xx'event and xx='1'then m<=m+1; --计xx信号的脉冲个数

end if;

end process;

end behav;

其波形仿真图如图4-2所示。其中输出信号Y滞后输入信号lO个时钟周期;在q=ll 时,m清零;q=10时,根据m的大小,进行对输出基带信号Y的电平的判决;在q为其它值时,计数器m计下xx(寄存x信号)的脉冲数。

(a)FSK解调VHDL程序仿真全图

(b)FSK解调VHDL程序仿真局部放大图图4-2 FSK解调VHDL程序仿真图及注释

VHDL建模符号

系统建模与仿真习题2

系统建模与仿真习题二 1. 考虑如图所示的典型反馈控制系统框图 (1)假设各个子传递函数模型为 66.031.05 .02)(232++-+=s s s s s G ,s s s G c 610)(+=,2 1)(+=s s H 分别用feedback ()函数以及G*Gc/(1+G*Gc*H)(要最小实现)方法求该系统的传递函数模型。 (2) 假设系统的受控对象模型为s e s s s G 23 )1(12 )(-+=,控制器模型为 s s s G c 32)(+=,并假设系统是单位负反馈,分别用feedback ()函数以及G*Gc/(1+G*Gc*H)(要最小实现)方法能求出该系统的传递函数模型?如果不能,请近似该模型。 2. 假定系统为: )(0001)(111000100001024269)(t u t x t x ????? ???????+????????????----= [])(2110)(t x t y = 请检查该系统是否为最小实现,如果不是最小实现,请从传递函数的角度解释该模型为何不是最小实现,并求其最小实现。 3. 双输入双输出系统的状态方程:

)(20201000)()(20224264)(75.025.075.125 .1125.15.025.025.025.125.425.25.025.1525.2)(t x t y t u t x t x ??????=????? ???????+????????????------------= (1)试将该模型输入到MATLAB 空间,并求出该模型相应的传递函数矩阵。 (2)将该状态空间模型转化为零极点增益模型,确定该系统是否为最小实现模型。如果不是,请将该模型的传递函数实现最小实现。 (3)若选择采样周期为s T 1.0=,求出离散后的状态方程模型和传递函数模型。 (4)对离散的状态空间模型进行连续变化,测试一下能否变回到原来的系统。 4. 假设系统的传递函数模型为: 222 )(2+++=s s s s G 系统状态的初始值为?? ????-21,假设系统的输入为t e t u 2)(-=。 (1)将该传递函数模型转化为状态空间模型。 (2)利用公式 ?--+=t t t A t t A d Bu e t x e t x 0 0)()()()(0)(τττ求解],0[t 的状态以及系统输出的解析解。 (3)根据上述的解析解作出s ]10,0[时间区间的状态以及系统输出曲线。 (4)采用lsim 函数方法直接作出s ]10,0[时间区间的状态以及系统输出曲线,并与(3)的结果作比较。 5. 已知矩阵 ???? ??????----=212332110A (1)取1:1.0:0=t ,利用expm(At)函数绘制求A 的状态转移矩阵,看运行的速度如何? (2)采用以下程序绘制A 的状态转移矩阵的曲线,看运行的速度如何? clc;clear; A=[0 1 -1;-2 -3 3;2 1 -2]; t=0:0.1:2; Nt=length(t);

物流仿真大作业.doc

物流系统仿真 期末作业 题目:Manufacturing System Planning and Scheduling 班级:物流工程131 学号:1311393003 1311393008 姓名:黎宇帆张力夫 日期:2015-09-19 成绩:

制造系统规划与调度 翻译 2.1引言 现代生产调度工具是非常强大的,提供了广阔的范围内调整工具的行为的真实过程要求的选项和参数。 然而,更多的选项的存在,它就在实践中找到的工具的最佳配置更加困难。 即专家们经常无法预测的多种可能性的影响。 测试甚至一小部分在现实中可能的配置,对实际生产过程的影响可能需要几个月的时间,可能会严重降低整体性能。 因此,这样的试验在实践中是不可行的。 优化的生产调度仿真模型比使用真正的过程更安全,更便宜,更快,更容易测试。为了在一个中等规模的制造公司充分使用先进的调度工具的优势,找到它的一个最佳的规则和参数的优化配置。 模块化仿真模型的整个业务的制造系统和生产过程中阳极氧化阶段是建立以测试不同的调度配置的影响。调度工具的配置测试和优化进行了离线使用的仿真模型。实际生产过程不受干扰,可以非常快速、低成本的找到最优配置。 2.2问题描述 位于英国的一个中型制造商,生产一系列的不同的小压铝零件和一系列大批量的其他面向消费者的产品。典型的应用包括香水的喷雾组件和哮喘患者的分配器。这是一个高度竞争的行业,成功取决于是否能实现高效率和低成本制造。所以生产调度是非常重要的。 在过去,该公司安装的软件工具可以支持生产过程中的各个区域调度。全面提高公司绩效,增加产量和减少产品的交货时间,他们计划建立自动电抗器的供应链规划服务器–总调度系统协调当地所有的业务和生产区。为了提供最好的解决方案,调度工具供应商,预优国际(https://www.doczj.com/doc/a011132739.html,)决定使用模拟求解调度工具的优化配置。 问题是建立一个仿真工具,它将接受的到来客户订单和生产订单排序以满足这些需求。一个重要的地方是模型的生产过程本身,以确保它的主要阶段的最佳时刻加载。阳极氧化阶段是整个生产过程中特别重要的,因此,它必须是非常详细的模拟,以测试到整体订单的交货时间可以通过阳极氧化过程阶段优化减少到什么程度。 在这种情况下的研究主要目标是以下几个: (1)为了确定公司模型间的相关业务和生产过程和确定订单和交货时间, (2)在规划部门分析和优化业务流程,为了处理传入的需求和规划生产订单。 (3)测试的整体生产时间,提高灵敏度,特别是确定是否引入特定排序规则的生产订单将减少在阳极氧化处理阶段总的处理时间。

基于Simulink的2FSK调制解调系统设计

二○一二~二○一三学年第二学期 电子信息工程系 课程设计计划书 班级: 课程名称: 学时学分: 姓名: 学号: 指导教师: 二○一三年六月一日

一、课程设计目的: 通过课程设计,巩固已经学过的有关数字调制系统的知识,加深对知识的理解和应用,学会应用Matlab Simulink 或SystemView等工具对通信系统进行仿真。 二、课程设计时间安排: 课程设计时间为第一周。首先查找资料,掌握系统原理,熟悉仿真软件,然后编写程序或构建仿真结构模型,最后调试运行并分析仿真结果。 三、课程设计内容及要求: 1 设计任务与要求 1.1 设计要求 (1)学习使用计算机建立通信系统仿真模型的基本方法及基本技能,学会利用仿真的手段对于实用通讯系统的基本理论、基本算法进行实际验证; (2)学习现有流行通信系统仿真软件MATLAB7.0的基本实用方法,学会使用这软件解决实际系统出现的问题; (3)通过系统仿真加深对通信课程理论的理解,拓展知识面,激发学习和研究的兴趣;(4)用MATLAB7.0设计一种2FSK数字调制解调系统; 1.2设计任务 根据课程设计的设计题目实现某种数字传输系统,具体要求如下; (1)信源:产生二进制随机比特流,数字基带信号采用单极性数字信号、矩形波数字基带信号波形; (2)调制:采用二进制频移键控(2FSK)对数字基带信号进行调制,使用键控法产生2FSK 信号; (3)信道:属于加性高斯信道; (4)解调:采用相干解调; (5)性能分析:仿真出该数字传输系统的性能指标,即该系统的误码率,并画出SNR(信噪比)和误码率的曲线图;

2 方案设计与论证 频移键控是利用载波的频率来传递数字信号,在2FSK 中,载波的频率随着二进制基带信号在f1和f2两个频率点间变化,频移键控是利用载波的频移变化来传递数字信息的。在2FSK 中,载波的频率随基带信号在f1和f2两个频率点间变化。故其表达式为: { )cos() cos(212)(n n t A t A FSK t e ?ωθω++= 典型波形如下图所示。由图可见。2FSK 信号可以看作两个不同载频的ASK 信号的叠加。因此2FSK 信号的时域表达式又可以写成: )cos()]([)cos(])([)(2_ 12n s n n n n s n FSK t nT t g a t nT t g a t s ?ωθω+-++-=∑∑ 1 1 1 1 t ak s 1(t) cos (w1t+θn ) s 2(t) s 1(t) co s(w1t +θn )cos (w2t+φn) s 2(t) cos (w2t+φn) 2FSK 信号 t t t t t t 2.1 2FSK 数字系统的调制原理 2FSK 调制就是使用两个不同的频率的载波信号来传输一个二进制信息序列。可以用二进制“1”来对应于载频f1,而“0”用来对应于另一相载频w2的已调波形,而这个可以用受矩形脉冲序列控制的开关电路对两个不同的独立的频率源w1、f2进行选择通。如下原理图:

气动张力控制系统的建模与仿真

气动张力控制系统的建模与仿真 摘要:本文简单介绍了张力控制的相关知识及气动张力控制系统的组成及工作原理,并对张力控制系统的收卷控制部分进行了数学建模与仿真。建立了比例压力阀控缸开环系统的简化模型,采用PID控制方法,在Matlab仿真平台进行系统模型仿真,得到了系统仿真曲线。 关键词:张力控制气动比例控制系统建模与仿真 近年来,气动技术以其自身独特的传动方式和优点,如清洁、结构简单、气体来源充足和成本相对较低,已在工业自动化领域广泛应用。将气动技术应用于恒张力控制系统已成为一个重要研究领域,PID控制,现代控制理论,智能控制等都被应用到气动系统的控制中。但是气动控制系统,由于气体的可压缩性,阀口非线性及气缸摩擦力等因素的影响,导致了气动伺服系统的强非线性、固有频率低、刚度小、阻尼小等特点,要得到满意的控制伺服系统比较困难。要对气动伺服控制系统进行分析和研究,一般需要首先建立该控制系统的数学模型。 本文通过介绍张力控制的相关知识及气动比例控制系统原理与组成,针对张力控制系统的收卷控制部分建立简单的比例压力阀控缸开环控制系统的数学模型,并在Matlab环境下进行了仿真。 一、张力控制的基础知识 张力控制,简单地说就是要控制物体在设备上输送时物体上相互拉长或绷紧的力。张力控制系统往往是张力传感器和张力控制器的一种系统集成,是一种实现恒张力或者锥度张力控制的自动控制系统,主要应用于造纸、纺织、薄膜、电线等轻工业中,其作用主要是实现辊间的同步,收卷和放卷的均匀控制。在带材或线材的收卷和放卷过程中,为保证生生产的质量和效率,保持恒定张力是很重要的。 这种控制对机器的任何运行速度都必须保持有效,包括机器的加速、减速和匀速。即使在紧急停车情况下,也应有能力保证被分切物不破损。张力控制的稳定与否直接关系到分切产品的质量。若张力不足,原料在运行中产生漂移,会出现分切复卷后成品纸起皱现象;若张力过大,原料又易被拉断,使分切复卷后成品纸断头增多。 一套典型的张力控制系统主要由张力控制器,张力读出器,张力检测器,制动器和离合器构成。根据环路可分为开环,闭环或自由环张力控制系统;根据对不同卷材的监测方式又可分为超声波式,浮辊式,跟踪臂式等。 1.典型收卷张力控制示意图

系统建模与仿真考试题

1.信息时代认识世界(科学研究)的三种方法是:理论研究、(_实验研究_)、(__ 仿真___)。 2.根据系统状态随时间变化是连续性还是间断性的,可将系统划分为(_连续系统_)、 (__离散系统__)。 3.系统仿真中的三个基本概念是系统、(__模型_)、仿真。 4.拟对某系统进行研究,首先要对系统作出明确的描述,即确定系统各个要素:实体、 属性、活动、(__状态_)、(_事件___)。 ?阶段性知识测试 5.系统仿真有三个基本的活动,即系统建模、仿真建模和(__仿真实验__),联系这 三个活动的是系统仿真的三要素,即系统、模型和计算机(硬件和软件)。 6.系统仿真的一般步骤是:(1)调研系统,明确问题、(2)(___设立目标,收集数据 __)、(3)建立仿真模型、(4)编制程序、(5)运行模型,计算结果、(6)(_统计分析,进行决策__) ?阶段性知识测试 7.仿真软件发展经历了四个阶段(1)高级程序语言阶段;(2)仿真程序包、初级仿 真语言阶段;(3)商业化仿真语言阶段;(4) (_一体化建模与仿真环境_)阶段。 8.常用的仿真软件有Arena、Automod、MATLAB、Promodel、(__WITNESS______)、 (______FLEXSIM___)。 9.求解简单系统问题的“原始”方法是(___解析解决____),借助(___实验__)可大大 提高该方法的效率和精度。 ?阶段性知识测试 10.排队系统可简化表示为A/B/C/D/E。其中A为到达模式;B为(服务模式)、C为服 务台数量、D为系统容量;E为排队规则。 11.常见的排队规则有:先到先服务、后到后服务、优先级服务、最短处理时间优先服 务、随机服务等。请以连线方式将下列排队规则名称的中英文对照起来。 先进先出FIFO 后进先出LIFO 随机服务SIRO 最短处理时间优先SPT 优先级服务PR ?阶段性知识测试 12.模型中,习惯称实体为成分。成分可分为主动成分和被动成分。请问排队系统中的 随机到达的顾客属于(主动)成分(主动/被动)。 13.事件是改变系统状态的瞬间变化的事情。一般指活动的开始和结束。事件可分为必 然事件(主要)、条件事件(次要)、系统事件。其中(______)一般不出现在将来事件表中(FEL)。 14.活动是具有指定长度的持续时间,其开始时间是确定。排队系统主要活动有 (_______)和服务活动。 ?阶段性知识测试 15.仿真时钟表示仿真时间的变量。Witness仿真系统中仿真钟用系统变量(TIME)表 示。 仿真策略,也称仿真算法。离散事件系统适用的仿真策略有(_事件调度法_)、活动扫描法、进程交互法、三阶段法等。 16.建立输入数据模型需要4个步骤:(1)从现实系统收集数据;(2)(_确定输入数据

matlab机电系统仿真大作业

一曲柄滑块机构运动学仿真 1、设计任务描述 通过分析求解曲柄滑块机构动力学方程,编写matlab程序并建立Simulink 模型,由已知的连杆长度和曲柄输入角速度或角加速度求解滑块位移与时间的关系,滑块速度和时间的关系,连杆转角和时间的关系以及滑块位移和滑块速度与加速度之间的关系,从而实现运动学仿真目的。 2、系统结构简图与矢量模型 下图所示是只有一个自由度的曲柄滑块机构,连杆与长度已知。 图2-1 曲柄滑块机构简图 设每一连杆(包括固定杆件)均由一位移矢量表示,下图给出了该机构各个杆件之间的矢量关系 图2-2 曲柄滑块机构的矢量环

3.匀角速度输入时系统仿真 3.1 系统动力学方程 系统为匀角速度输入的时候,其输入为输出为;。 (1) 曲柄滑块机构闭环位移矢量方程为: (2)曲柄滑块机构的位置方程 (3)曲柄滑块机构的运动学方程 通过对位置方程进行求导,可得 由于系统的输出是与,为了便于建立A*x=B形式的矩阵,使x=[], 将运动学方程两边进行整理,得到 将上述方程的v1与w3提取出来,即可建立运动学方程的矩阵形式 3.2 M函数编写与Simulink仿真模型建立 3.2.1 滑块速度与时间的变化情况以及滑块位移与时间的变化情况 仿真的基本思路:已知输入w2与,由运动学方程求出w3和v1,再通过积分,即可求出与r1。 (1)编写Matlab函数求解运动学方程 将该机构的运动学方程的矩阵形式用M函数compv(u)来表示。 设r2=15mm,r3=55mm,r1(0)=70mm,。 其中各个零时刻的初始值可以在Simulink模型的积分器初始值里设置

M函数如下: function[x]=compv(u) %u(1)=w2 %u(2)=sita2 %u(3)=sita3 r2=15; r3=55; a=[r3*sin(u(3)) 1;-r3*cos(u(3)) 0]; b=[-r2*u(1)*sin(u(2));r2*u(1)*cos(u(2))]; x=inv(a)*b; (2)建立Simulink模型 M函数创建完毕后,根据之前的运动学方程建立Simulink模型,如下图: 图3-1 Simulink模型 同时不要忘记设置r1初始值70,如下图: 图3-2 r1初始值设置

基于LabView的调制解调系统设计

基于LabVIEW的调制解调系统设计 工程设计报告 题目类型:小组题目 班级: 021212 姓名:李x(组长)、黄XX 学号:1149,1100 联系方式: 西安电子科技大学 电子工程学院

一.摘要 虚拟技术的发展使电子技术实验的分析设计过程得以在计算机上轻松、准确、快捷地完成。这样,一方面克服了实验室在元器件和规格上的限制,避免了损坏仪器等不利因素,另一方面使得实验不受时间及空间的限制,从而促进虚拟电子技术实验教学的现代化。本文介绍了基于LabVIEW的虚拟电子技术实验系统——虚拟调制解调器的设计与实现。此系统具有参数调节方便、易实现、可靠度高等优点。 在实现的过程中,我们小组首先对LabVIEW这款软件的使用进行了深入的学习,掌握了这款软件的基本操作和图形编程的方法;其次对调制解调系统进行学习,了解现在流行的调制解调是如何实现的,然后在理论上设计出一套可以实现的调制解调系统;进而在LabVIEW的开发环境下对设计的系统进行试验验证,经过调试和反复的完善,得到最终的调制解调系统。 二.绪论 (一)虚拟仪器的发展 虚拟仪器发展至今,大体可以分为四代:模拟仪器、分立元件式仪器、数字化仪器、智能仪器和虚拟仪器。 第一代---模拟仪器。这类仪器看起来在某些实验室仍然恩能够看到,是以电磁感应基本定律为基础的指针式仪器,如指针式万用表、指针式电压表、指针式电流表等。这类指针式仪器借助指针来显示最终结果。 第二代---分立元件式仪器。当20世纪50年代出现电子管,20世纪60年代出现晶体管时,便产生了以电子管或晶体管电子电路为基础的第二代测试仪器---分立元件式仪器。 第三代---数字化仪器。20世纪70年代,随着集成电路的出现,诞生了以集成电路芯片为基础的第三代仪器这类仪器目前相当普及,如数字电压表,数字频率计等。这类仪器将模拟信号的测量转化为数字信号的测量,并以数字方式输出最终结果,适用于快速响应和较高准确度的测量。 第四代---智能仪器。随着微电子技术的发展和微处理器的普及,以微处理器为核心的第四代仪器---智能仪器也迅速普及。这类仪器内置微处理器,既能进行自动测试,又具有一定的数据处理功能,可取代部分脑力劳动,习惯上称之智能仪器。其缺点是它的功能模块全部都以硬件的形式存在,无论对开发还是针对应用,都缺乏灵活性。 目前,微电子技术和计算机技术飞速发展,测试技术与计算机深层次的结合正引起测试仪器领域里的一场新革命,一种全新的仪器结构概念导致了新一代仪器---虚拟仪器的出现。它是现代计算机技术,通信技术和测量技术想结合的产物,是传统仪器观念的一次巨大变革,是仪器产业发展的一个重要方向。它的出现使得人类的测试技术进入一个新的发展纪元。 (二)虚拟仪器的特点 任何一台仪器,一般都由信号的采集、信号的分析处理、测试结果的输出三

系统建模与仿真习题3及答案

系统建模与仿真习题三及答案 1.已知系统 )24(32)(21+++=s s s s s G 、2 103)(2+-=s s s G 求G 1(s)和G 2(s)分别进行串联、并联和反馈连接后的系统模型。 解: clc;clear; num1=[2 3]; den1=[1 4 2 0]; num2=[1 -3]; den2=[10 2]; G1=tf(num1,den1); G2=tf(num2,den2); Gs1=series(G1,G2) Gp1=parallel(G1,G2) Gf=feedback(G1,G2) 结果: Transfer function: 2 s^2 - 3 s - 9 ------------------------------ 10 s^4 + 42 s^3 + 28 s^2 + 4 s Transfer function: s^4 + s^3 + 10 s^2 + 28 s + 6 ------------------------------ 10 s^4 + 42 s^3 + 28 s^2 + 4 s Transfer function: 20 s^2 + 34 s + 6 -------------------------------- 10 s^4 + 42 s^3 + 30 s^2 + s – 9 2.某双闭环直流电动机控制系统如图所示:

利用feedback( )函数求系统的总模型。 解: 模型等价为: 编写程序: clc;clear; s=tf('s'); G1=1/(0.01*s+1); G2=(0.17*s+1)/(0.085*s); G3=G1; G4=(0.15*s+1)/(0.051*s); G5=70/(0.0067*s+1); G6=0.21/(0.15*s+1); G7=(s+2)/s; G8=0.1*G1; G9=0.0044/(0.01*s+1); sys1=feedback(G6*G7,0.212); sys2=feedback(sys1*G4*G5,G8*inv(G7)); sys=G1*feedback(sys2*G2*G3,G9) 结果: Transfer function:

《生产系统建模与仿真》教学大纲

《生产系统建模与仿真》教学大纲 (理论课程) 开课系(部):工程学院课程编号:010396 课程类型:专业课总学时:48 学分:3 适用专业:工业工程开课学期:2014-2015学年第一学期 先修课程:概率论与数理统计、C语言程序设计、系统工程导论 一、课程简述 《生产系统建模与仿真》是面向工程实际的应用型课程,是工业工程系的主导课程之一。学生通过本课程的学习能够初步运用仿真技术来发现生产系统中的关键问题,并通过改进措施的实现,提高生产能力和生产效率。 本课程具有较强的理论性,同时具有较强的实践性和应用性,能够有效增强学生的系统仿真理论基础,提高学生对系统仿真、分析工作的适应性,培养其开发创新能力。 本课程的教学目标是培养学生的设计能力、创新能力和工程意识。课程以制造型生产企业为核心,通过理论教学和实践环节相结合,阐述了离散事件系统建模与仿真技术在生产企业分析中的基本原理和方法。其容涉及计算机仿真技术在生产系统分析中的作用和原理、仿真软件的介绍,重点介绍排队系统、库存系统、加工系统以及输入、输出数据分析。本课程的目的是要求学生通过学习、课堂教育和上机训练,能了解如何运用计算机仿真技术模拟生产系统的布置和调度管理;并熟悉和掌握计算机仿真软件的基本操作和能够实现的功能;使学生了解计算机仿真的基本步骤。 二、课程要求 (一)教学方法 1、启发式课堂讨论 针对关键知识点、典型题和难题,通过教师提问,鼓励学生回答问题或请到讲台前做题,并请其他学生评判或提出不同的答案或不同的解决方法。目的是加强学生自主学习的能力和判断能力,培养主动思考的习惯,启发学生的探索精神。 2、重视在教学中加强知识演进的逻辑规律的讲解 提高学生的逻辑思维能力,培养学生分析问题、解决问题的能力。 3、加强计算机辅助设计、分析 将Flexsim仿真软件引入教学中。应用计算机辅助设计、分析,能方便的改变系统

SSB调制解调系统设计

南华大学电气工程学院 《通信原理课程设计》任务书 设计题目:SSB调制解调系统设计 专业:通信工程 学生姓名: 唐军德学号:20114400227 起迄日期:2013 年12月20日~2014年1月3日指导教师:宁志刚副教授 系主任:王彦教授

《通信原理课程设计》任务书

附件二: 《通信原理课程设计》设计说明书格式 一、纸张和页面要求 A4纸打印;页边距要求如下:页边距上下各为2.5 厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 二、说明书装订页码顺序 (1)任务书 (2)论文正文 (3)参考文献,(4)附录 三、课程设计说明书撰写格式 见范例 引言(黑体四号) ☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆(首行缩进两个字,宋体小四号) 1☆☆☆☆(黑体四号) 正文……(首行缩进两个字,宋体小四号) 1.1(空一格)☆☆☆☆☆☆(黑体小四号) 正文……(首行缩进两个字,宋体小四号) 1.2 ☆☆☆☆☆☆、☆☆☆ 正文……(首行缩进两个字,宋体小四号) 2 ☆☆☆☆☆☆ (黑体四号) 正文……(首行缩进两个字,宋体小四号) 2.1 ☆☆☆☆、☆☆☆☆☆☆,☆☆☆(黑体小四号) 正文……(首行缩进两个字,宋体小四号) 2.1.1☆☆☆,☆☆☆☆☆,☆☆☆☆ (楷体小四号) 正文……(首行缩进两个字,宋体小四号) (1)…… ①……

………… 图1. 工作波形示意图(图题,居中,宋体五号) 5结论(黑体四号) ☆☆☆☆☆☆(首行缩进两个字,宋体小四号) 参考文献(黑体四号、顶格) 参考文献要另起一页,一律放在正文后,不得放在各章之后。只列出作者直接阅读过或在正文中被引用过的文献资料,作者只写到第三位,余者写“等”,英文作者超过3人写“et al”。 几种主要参考文献著录表的格式为: ⑴专(译)著:[序号]著者.书名(译者)[M].出版地:出版者,出版年:起~止页码. ⑵期刊:[序号]著者.篇名[J].刊名,年,卷号(期号):起~止页码. ⑶论文集:[序号]著者.篇名[A]编者.论文集名[C] .出版地:出版者,出版者. 出版年:起~止页码. ⑷学位论文:[序号]著者.题名[D] .保存地:保存单位,授予年. ⑸专利文献:专利所有者.专利题名[P] .专利国别:专利号,出版日期. ⑹标准文献:[序号]标准代号标准顺序号—发布年,标准名称[S] . ⑺报纸:责任者.文献题名[N].报纸名,年—月—日(版次). 附录(居中,黑体四号) ☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆☆(首行缩进两个字,宋体小四号)

物流系统建模与仿真-考前复习题资料-共12页

物流系统建模与仿真考前复习题 1、名词解释(5*4分) (1)系统:系统是由若干可以相互区别、相互联系而又相互作用的要素所组成,在一定的阶层结构形成中分布,在给定的环境约束下,为达到整体的目的而存在的有机集合体。 (2)物流系统模型:物流系统模型是对物流系统特征要素、有关信息和变化规律的一种抽象表达,描述了系统各要素之间的相互关系、系统与环境之间的相互作用,以反映系统的某些本质。 (3)系统仿真:应用数学模型、相应的实用模型的装置、计算机系统、部分实物的仿真系统,对某一给定系统进行数学模拟、半实物模拟、实物模拟,以便分析、设计、研究这种给定系统;或者利用这种仿真训练给定系统的专业人员。 (4)离散事件系统:指系统状态在某些随机时间点上发生离散变化的系统。离散事件动态系统,本质上属于人造系统 (4)实体:实体是描述系统的三个基本要素(实体、属性、活动)之一。在离散事件系统中的实体可分为两大类:临时实体及永久实体。在系统中只存在一段时间的实体叫临时实体。这类实体由系统外部到达系统,通过系统,最终离开系统。临时实体按一定规律不断地到达(产生),在永久实体作用下通过系统,最后离开系统,整个系统呈现出动态过程。 (5)事件:事件就是引起系统状态发生变化的行为。从某种意义上说,这类系统是由

事件来驱动的。在一个系统中,往往有许多类事件,而事件的发生一般与某一类实体相联系,某一类事件的发生还可能会引起别的事件发生,或者是另一类事件发生的条件等,为了实现对系统中的事件进行管理,仿真模型中必须建立事件表,表中记录每一发生了的或将要发生的事件类型和发生时问,以及与该事件相联的实体的有关属性等。 (6)仿真时钟:仿真钟用于表示仿真时间的变化。离散事件动态系统的状态是在离散时间点上发生变化的,并且由于引起状态变化的事件发生时间的随机性,仿真钟的推进步长是随机的。如果两个相邻发生的事件之间系统状态不发生任何变化,则仿真钟可以跨过这些“不活动”周期。从一个事件发生时刻推进到下一事件发生时刻,仿真钟的推进呈跳跃性,推进速度具有随机性。 (7)事件调度法:仿真模型中的时间控制部件用于控制仿真钟的推进。在事件调度法中,事件表按事件发生时间先后顺序安排事件。时间控制部件始终从事件表中选择具有最早发生时问的事件记录,然后将仿真钟修改到该事件发生时刻。对每一类事件,仿真模型有相应的事件子程序。每一个事件记录包含该事件的若干个属性,其中事件类型是必不可少的,要根据事件类型调用相应的事件子程序。在事件子程序中,处理该事件发生时系统状态的变化,进行用户所需要的统计计算;如果是条件事件,则应首先进行条件测试,以确定该事件是否确能发生。如果条件不满足,则推迟或取消该事件。该事件子程序处理完后返回时问控制部件。 (8)进程交互法:一个进程包含若干个有序事件及有序活动。进程交互法采用进程描述系统,它将模型中的主动成分所发生的事件及活动按时间顺序进行组合,从而形成进程表,一个成分一旦进入进程,它将完成该进程的全部活动。 (9)连接:通过对象之间的连接定义仿真模型的流程,模型中对象之间是通过端口来

DSB调制解调系统设计与仿真

DSB调制解调系统设计与仿真 姓名: 学号: 学院:信息工程学院 专业:通信工程 指导老师:

目录 (2) 绪论 (2) 课程设计目的 (3) 课程设计要求 (3) 1. 建立DSB调制解调模型 (4) 1.1 DSB信号的模型 (4) 1.2 DSB信号调制过程分析 (5) 1.3 高斯白噪声信道特性分析 (8) 1.4 DSB解调过程分析 (11) 1.5 DSB调制解调系统抗噪声性能分析 (14) 2. 调制解调仿真过程 (16) 3. 课程设计心得体会 (19) 4. 参考文献 (20)

本课程设计信号的接收端就是通过解调来还原已调制信号从而读取发送端发送的信息。因此信号的解调对系统的传输有效性和传输可靠性有着很大的影响。调制与解调方式往往决定了一个通信系统的性能。双边带DSB信号的解调采用相干解调法,这种方式被广泛应用在载波通信和短波无线电话通信中。 课程设计目的 《通信原理》是通信工程专业的一门极为重要的专业基础课,但内容抽象,基本概念较多,是一门难度较大的课程。本课程设计是DSB调制解调系统的设计与仿真,用于实现DSB信号的调制解调过程,信号的调制与解调在通信系统中具有重要的作用,调制过程是一个频谱搬移的过程,它是将低频信号的频谱搬移到载频位置,解调是调制的逆过程,即是将已调制的信号还原成原始基带信号的过程。在此次课程设计中,我需要通过多方搜集资料与分析,来理解并掌握DSB 调制解调的具体过程和它在MATLAB中的实现方法。通过这个课程设计,我将更清晰地了解DSB的调制解调原理,同时加深对MATLAB这款《通信原理》辅助教学操作的熟练度。 课程设计要求 1.掌握DSB信号的调制解调原理,以此为基础实现DSB信号的调制解调,所有的仿真用matlab或VC程序实现(如用Matlab则只能用代码的形式,不能

生产系统建模与仿真试卷(A卷)

上海海洋大学试卷 姓名:学号:专业班名: 一.简述题(共40分) 1.什么是事件?在单通道排队系统中,哪两个典型事件影响系统的状态?这两个典型事件分别发生时,可能会改变系统哪些状态?(5分) 事件是指引起系统状态发生变化的行为或者事情 在单通道派对系统中的典型事件是:顾客到达和服务结束 顾客到达发生,系统可能会由闲开始变为忙,可能引起队长发生变化 服务结束,系统的状态可能有忙变为闲,可能引起队长发生变化 2.分析FMS(柔性制造系统)中的实体、状态、事件和活动。要求每一项写出2个。(8分) 实体:机床、工件 状态:空闲、加工 事件:工件到达、加工结束 活动:工件到达与工件加工开始这之间的一段事件是一个活动

3.在排队模型中,假定用链表来存放排队等待服务的顾客。链表中只有“到达时间”这样的单属性,当前CLOCK =10,已用空间表和可用空间表的情形见下图1,并且任何时候队列中的顾客数不会超过4位。若已知排队系统中依次发生的事件如下表1。 请根据表1中列出的事件画出CLOCK =15,CLOCK =20,CLOCK =25时的已用空间表和可用空间表的情形(注意:画出的图形中必须标上行号)。(8分)

4.库存系统仿真中有哪4种类型的事件?当这4种事件同时发生时,系统如何处理4种事件?(4分) 1 货物到达 2 顾客需求 3 仿真结束 4 月初清库 5.请问输入数据分析的基本步骤有哪些,并简述各个步骤的基本内容?(6分) 输入数据收集 分布的识别 参数估计 拟合度检验 6.在稳态仿真中,哪两种方法能够提高仿真结果的精度?(4分) 重复运行次数和增加运行长度

曾华艳组离散事件系统仿真大作业

新疆财经大学实验报告 课程名称:物流管理综合实验 实验项目名称:系统建模与仿真 学号: 2013104059 姓名:曾华艳 班级:物流管理11-1 指导教师:林秋平 2014年 6月 2日

新疆财经大学实验报告

《铁路局联通营业厅排队仿真分析实验报告》 一、实验目的 (一)通过对铁路局联通营业厅运作的观察,建立计算机仿真全过程,对营业厅运作进行数据采集、建模和仿真分析,为联通营业厅提出改进和优化方案的建议。 (二)通过这次实验活动,全面了解计算机仿真技术在物流领域、生产制造领域等离散事件系统中的应用,理解仿真技术如何辅助管理人员进行决策。 (三)通过分组合作的形式,提供一种系统仿真工作中常见的团队协作方式的实践体验,培养协调工作、共同完成任务的能力。 二、系统描述 人们进入联通营业厅,首先要通过取票系统拿到自己的号,先在等待区等待叫号系统报自己的号。一共有2个服务台,2个服务台同时工作,哪个服务台叫到几号,拿这个号码的人就去哪个服务台,叫号系统按顺序叫号,2个服务台叫号不会发生重复现象。我们组决定针对铁路局联通营业厅叫号排队办理业务的过程进行研究,因此我们采集了仿真模型相关数据。记录了每位顾客到达时间、等待时间和离开时间。将收集的数据整理,录入excel中,并计算出了顾客的到达时间间隔和被服务时间,再利用flexsim建立仿真模型进行仿真分析与优化。 三、小组分工 (一)本组成员 1.组长:曾华艳 2.组员:晁芙蓉、陈磊、阿尔孜姑丽、宗泽宁、张振恒 (二)小组分工 1.调查收集数据和模型优化:全体成员 2.数据录入:晁芙蓉、张振恒、阿尔孜姑丽 3.数据处理:宗泽宁、阿尔孜姑丽 4.仿真模型建立与分析:陈磊、曾华艳 5.实验报告:曾华艳、晁芙蓉、宗泽宁 6.PPT 制作:张振恒、陈磊

大作业题目

控制系统仿真大作业 1、曲线拟合的Matlab实现和优化度检验 通过一个实际的例子,介绍最小二乘曲线拟合法的基本原理,对最小二乘曲线拟合法的Matlab实现方法进行研究,并给出曲线拟合Matlab实现的源程序。论述了Matlab软件在做曲线拟合时的用法,并进行曲线的拟合和相应的图像。 2、基于Matlab的液位串级控制系统 运用组态王和Matlab混合编程的方法设计了一个双容(两个水箱串联)液位串级在线控制系统,由组态王编制人机交互界面,用Matlab完成控制算法,二者通过DDE进行实时数据交换;采用串级控制策略,减小二次干扰的影响,验证其方法的有效性。 3、基于Matlab的变压器差动保护闭环仿真研究 应用Matlab建立了微机保护仿真系统,并对不同原理的变压器差动保护进行了仿真和比较.仿真系统采用积木式结构,根据微机保护的实现原理构建模块,实现保护的闭环仿真,对保护的动作过程进行分析. 4、基于MATLAB/SIMULINK的交流电机调速系统建模与仿真 根据直接转矩控制原理,利用MATLAB/SIMULINK软件构造了一个交流电机调速系统,该系统能够很好地模拟真实系统,实现高效的调速系统设计。仿真结果验证该方法的有效性。 5、基于MCGS和MATLAB的薄膜厚度控制系统仿真 以MCGS组态软件和MATLAB为平台,设计和仿真了一个薄膜厚度控制系统.MCGS完成硬件接口的设置、数据的实时采集、人机对话、以动画的方式显示控制系统的运行情况,MATLAB完成PID参数的自动整定,并利用动态数据交换(DDE)技术建立两者间的通讯.并分析其仿真结果。 6、Matlab在动态电路分析中的应用 用Matlab计算动态电路,可得到解析解和波形图.一阶电路先计算3要素,后合成解

基于LabView的调制解调系统设计

基于LabVIEW的调制解调系统设计 工程设计报告 题目类型:小组题目 班级:021212 姓名:李x(组长)、黄XX 学号:1149,1100 联系方式: 西安电子科技大学 电子工程学院

一.摘要 虚拟技术的发展使电子技术实验的分析设计过程得以在计算机上轻松、准确、快捷地完成。这样,一方面克服了实验室在元器件和规格上的限制,避免了损坏仪器等不利因素,另一方面使得实验不受时间及空间的限制,从而促进虚拟电子技术实验教学的现代化。本文介绍了基于LabVIEW的虚拟电子技术实验系统——虚拟调制解调器的设计与实现。此系统具有参数调节方便、易实现、可靠度高等优点。 在实现的过程中,我们小组首先对LabVIEW这款软件的使用进行了深入的学习,掌握了这款软件的基本操作和图形编程的方法;其次对调制解调系统进行学习,了解现在流行的调制解调是如何实现的,然后在理论上设计出一套可以实现的调制解调系统;进而在LabVIEW的开发环境下对设计的系统进行试验验证,经过调试和反复的完善,得到最终的调制解调系统。 二.绪论 (一)虚拟仪器的发展 虚拟仪器发展至今,大体可以分为四代:模拟仪器、分立元件式仪器、数字化仪器、智能仪器和虚拟仪器。 第一代---模拟仪器。这类仪器看起来在某些实验室仍然恩能够看到,是以电磁感应基本定律为基础的指针式仪器,如指针式万用表、指针式电压表、指针式电流表等。这类指针式仪器借助指针来显示最终结果。 第二代---分立元件式仪器。当20世纪50年代出现电子管,20世纪60年代出现晶体管时,便产生了以电子管或晶体管电子电路为基础的第二代测试仪器---分立元件式仪器。 第三代---数字化仪器。20世纪70年代,随着集成电路的出现,诞生了以集成电路芯片为基础的第三代仪器这类仪器目前相当普及,如数字电压表,数字频率计等。这类仪器将模拟信号的测量转化为数字信号的测量,并以数字方式输出最终结果,适用于快速响应和较高准确度的测量。 第四代---智能仪器。随着微电子技术的发展和微处理器的普及,以微处理器为核心的第四代仪器---智能仪器也迅速普及。这类仪器内置微处理器,既能进行自动测试,又具有一定的数据处理功能,可取代部分脑力劳动,习惯上称之智能仪器。其缺点是它的功能模块全部都以硬件的形式存在,无论对开发还是针对应用,都缺乏灵活性。 目前,微电子技术和计算机技术飞速发展,测试技术与计算机深层次的结合正引起测试仪器领域里的一场新革命,一种全新的仪器结构概念导致了新一代仪器---虚拟仪器的出现。它是现代计算机技术,通信技术和测量技术想结合的产物,是传统仪器观念的一次巨大变革,是仪器产业发展的一个重要方向。它的出现使得人类的测试技术进入一个新的发展纪元。 (二)虚拟仪器的特点 任何一台仪器,一般都由信号的采集、信号的分析处理、测试结果的输出三

系统建模与仿真习题答案forstudents

第一章习题 1-1什么是仿真?它所遵循的基本原则是什么? 答:仿真是建立在控制理论,相似理论,信息处理技术和计算技术等理论基础之上的,以计算机和其他专用物理效应设备为工具,利用系统模型对真实或假想的系统进行试验,并借助专家经验知识,统计数据和信息资料对试验结果进行分析和研究,进而做出决策的一门综合性的试验性科学。 它所遵循的基本原则是相似原理。 1-2在系统分析与设计中仿真法与解析法有何区别?各有什么特点? 答:解析法就是运用已掌握的理论知识对控制系统进行理论上的分析,计算。它是一种纯物理意义上的实验分析方法,在对系统的认识过程中具有普遍意义。由于受到理论的不完善性以及对事物认识的不全面性等因素的影响,其应用往往有很大局限性。 仿真法基于相似原理,是在模型上所进行的系统性能分析与研究的实验方法。 1-3数字仿真包括那几个要素?其关系如何? 答: 通常情况下,数字仿真实验包括三个基本要素,即实际系统,数学模型与计算机。由图可见,将实际系统抽象为数学模型,称之为一次模型化,它还涉及到系统辨识技术问题,统称为建模问题;将数学模型转化为可在计算机上运行的仿真模型,称之为二次模型化,这涉及到仿真技术问题,统称为仿真实验。 1-4为什么说模拟仿真较数字仿真精度低?其优点如何?。 答:由于受到电路元件精度的制约和容易受到外界的干扰,模拟仿真较数字仿真精度低 但模拟仿真具有如下优点: (1)描述连续的物理系统的动态过程比较自然和逼真。 (2)仿真速度极快,失真小,结果可信度高。 (3)能快速求解微分方程。模拟计算机运行时各运算器是并行工作的,模拟机的解题速度与原系统的复杂程度无关。 (4)可以灵活设置仿真试验的时间标尺,既可以进行实时仿真,也可以进

16QAM调制解调系统设计的设计

资料 《通信原理及系统课程设计》报告 二○一一~二○一二学年第二学期 学号 091603048 姓名张薇 班级通信Q0941 电子工程系

设计任务书 【设计题目】 16QAM调制与解调系统的设计 【设计目的】 通过此综合设计,加深基本理论知识的理解,加强理论联系实际,增强动手能力,提高通信系统仿真的设计技能。 【设计内容】 1.设计任务:利用所学通信知识,设计一个16QAM调制与解调系统,并用 SystemVIEW进行仿真和分析,从而实现理论联系实际的作用。 2.基本要求: (1)用码元速率为19.2Kb/s的随机序列作为实验系统的信号源; (2)用频率为76.8kHz的正交信号作为实验系统的载波信号; (3)用9.6Kb/s的方波信号及其正交信号,作为抽样判决的时钟信号,抽样频率为384kHz; (4)保证串/并变换、并/串变换的正确性; (5)对完成的系统进行性能仿真,加入噪声电压,分析其输出性能。 【提交要求】 1.打印设计报告,内容包括: (1)设计思路及设计方案; (1)系统的基本原理框图以及每一个模块的作用; (2)系统设计过程中,每一个用到的图符中主要参数的意义; (3)每一个用到的图符主要参数的设定和设定的依据; (4)仿真系统参数改变时,给仿真结果带来的影响(如高斯白噪声信道的信噪比增加,则误码率减小); (5)仿真的结果(波形截图,总体分析评价等)。 2.仿真程序(需要加注释)。

目录 一、设计思路 (4) 二、总体方案设计 (4) 1、调制方案 (4) 2、解调方案 (5) 三、总体电路图 (5) 四、模块设计及主要参数设置 (6) 1、串/并转换 (6) 2、低通滤波 (7) 3、抽样判决 (8) 4、并/串转换 (8) 五、仿真结果及分析 (9) 1.仿真参数设置 (9) 2、仿真结果 (9) 3、仿真结果分析 (13) 六、小结 (13)

相关主题
文本预览
相关文档 最新文档