当前位置:文档之家› 数字电压表设计课程设计

数字电压表设计课程设计

数字电压表设计课程设计
数字电压表设计课程设计

东北石油大学课程设计

东北石油大学课程设计任务书

课程硬件课程设计

题目数字电压表设计

专业计算机科学与技术学院

姓名

学号

主要内容、基本要求等

一、主要内容:

利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言和层次设计方法,完成硬件设计和功能仿真。最后在EL教学实验箱中予以实现。

二、基本要求:

本实验中所要求设计的数字电压表为4位,由三大部分组成,每一部分又包含了若干子电路,将各电路组合起来,就构成了一个整体。

1、A/D转换接口电路的设计,负责对ADC0809的控制。

2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。

3、输出七段显示电路的设计,负责将BCD码用7段数码管显示出来。

三、参考文献

[1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003.11-13.

[2] 包明.《EDA技术与数字系统设计》.北京航天航空大学出版社. 2002.

[3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出版社2005.32-33.

[4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15.

完成期限:2周

指导教师:

专业负责人:2012年6月10日

东北石油大学课程设计成绩评价表

指导

摘要

本文介绍了利用EDA-V硬件系统和微机上的Quartus7.2-II等软件系统。VHDL 的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL 接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

本文设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。

关键词:EDA(电子设计自动化);VHDL(硬件描述语言),电压表。

目录

第1章概述 ......................... 错误!未定义书签。

1.1EDA的概念....................... 错误!未定义书签。

1.2EDA集成化开发环境............... 错误!未定义书签。第2章数字电压表的设计.............. 错误!未定义书签。

2.1芯片ADC0809的控制时序........... 错误!未定义书签。

2.2实验原理......................... 错误!未定义书签。

2.3.状态机的设计.................... 错误!未定义书签。

2.4数据转化BCD码................... 错误!未定义书签。第3章数字电压表的测试与运行及下载... 错误!未定义书签。

3.1数字电压表的编译................. 错误!未定义书签。

3.3实验连线......................... 错误!未定义书签。

3.4实验结果......................... 错误!未定义书签。结论 ................................. 错误!未定义书签。参考文献 ............................. 错误!未定义书签。

第1章概述

1.1 EDA的概念

EDA在通信行业(电信)里的另一个解释是企业数据架构,EDA给出了一个企业级的数据架构的总体视图,并按照电信企业的特征,进行了框架和层级的划分。EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽[1]。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。

1.1.1 EDA技术及应用

电子设计的必由之路是数字化,这已成为共识。在数字化的道路上,我国的电子技术经历了一系列重大的变革。从应用小规模集成电路构成电路系统,到广泛地应用微控制器或单片机(MCU),在电子系统设计上发生了具有里程碑意义的飞跃。电子产品正在以前所未有的速度进行着革新,主要表现在大规模可编程逻辑器件的广泛应用。特别在当前,半导体工艺水平已经达到深亚微米,芯片的集成高达到干兆位,时钟频率也在向干兆赫兹以上发展,数据传输位数达到每秒几十亿次,未来集成电路技术的发展趋势将是SOC片上系统。从而实现可编程片上系统芯片CPU(复杂可编程逻辑器件)和5PGA(现场可编程门阵列)必将成为今后电子系统设计的一个发展方向。所以电子设计技术发展到今天,又将面临另一次更大意义的突破,5PGA在EDA(电子设计自动化)基础上的广泛应用。

从高性能的微处理器、数字信号处理器一直到彩电、音响和电子玩具电路等,EDA 技术不单是应用于前期的计算机模拟仿真、产品调试,而且也在后期的制作、电子设备的研制与生产、电路板的焊接、器件的制作过程等有重要作用。

EDA技术的概念: EDA是电子设计自动化的由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异,所以目前尚无一个确切的定义。但从EDA 技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。可以实现逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化,逻辑布局布线、逻辑仿真。完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片。EDA技术是伴随着计算机、集成电路、电子系统的设计发展起来的,至今已有30多年的历程。大致可以分为三个发展阶段。

可以使用EDA中的仿真工具论证设计的正确性;在芯片设计阶段,可以使用EDA中的芯片设计工具设计制作芯片的版图:在电路板设计阶段,可以使用EDA中电路板设计工具设计多层电路板[3]。特别是支持硬件描述语言的EDA工具的出现,使复杂数字系统设计自动化成为可能,只要用硬件描述语言将数字系统的行为描述正确,就可以进行该数字系统的芯片设计与制造。有专家认为,21世纪将是四A技术的高速发展期,EDA技术将是对21世纪产生重大影响的十大技术之一。

EDA技术的基本特征:EDA代表了当今电子设计技术的最新发展方向,利用EDA 工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB

版图的整个过程在汁算机上自动处理完成。设计者采用的设计方法是一种高层次的”自顶向下”的全新设计方法,这种设汁方法首先从系统设计人手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错.并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行驶证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路(ASIC)。由于现代电子产品的复杂度和集成度的日益提高,一般分离的中小规模集成电路组合已不能满足要求,电路设计逐步地从中小规模芯片转为大规模、超大规模芯片,具有高速度、高集成度、低功耗的可编程朋IC器件。

1.1.2 EDA技术发展趋势

面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,改变传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA技术提出了更高的要求。未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及开发操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展[4]。

1.2 EDA集成化开发环境

Quartus II是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。Quartus I I可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点.包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具[5]。此外,Quartus II通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。

第2章数字电压表的设计

2.1芯片ADC0809的控制时序

2.2实验原理

实验原理图2-1ADC0809

图2-1ADC0809接口电路原理图

当CS 和WR同时为高电平时,ADC0809开始转换,当转换完成后,在INT脚输出高电平,等待读数据;当CS和RD同时为高电平时,通过数据总线D[7..0]从ADC0809是读出数据。

2.3.状态机的设计

状态机就是控制ADC0809转换过程的控制器。根据ADC0809的工作时序,就可以设计出状态机。该组状态机由以下几个部分组成,其VHDL语言描述如下。

P1: process(present_state,next_state,INT)

begin

case present_state is

when idle => CS<='1'; WR<='1'; RD<='1';

next_state<=write;

when write => CS<='1'; WR<='0'; RD<='1';

next_state<=swait;

when swait => CS<='1'; WR<='1'; RD<='1';

if ( INT='0') then

next_state<=read;

else

next_state<=swait;

end if;

when read => CS<='1'; WR<='1'; RD<='0';

next_state<=disp1;

when disp1 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout1;

next_state<=disp2;

when disp2 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout2;

next_state<=disp3;

when disp3 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout3;

next_state<=disp4;

when disp4 => CS<='1'; WR<='1'; RD<='1';

DATOUT<=dout4;

next_state<=write;

end case;

end process P1;

进程1是组合进程,当CS<='1'; WR<='0'; RD<='0'时,ADC0809被设为空位,由控制器发出信号要求ADC0809开始进行模/数信号的转换。当CS<='1'; WR<='1'; RD<='0'时,往ADC0809里写数据ADC0809进行转换动作,转换完毕后INT将低电位。当CS<='0'; WR<='0'; RD<='0'时,如果此时INT=1,说明转换结束,由控制器发出信号以读取ADC0809的转换资料,如果此时INT=0,说明转换没结束,继续转换。当CS<='1'; WR<='0'; RD<='1'时,由控制器读取数据总线上的数字转换资料。当接下来的几个状态(disp1,disp2,disp3,disp4)是控制制输出的。

end process P2;进程2是时序进程,当RESET='0'时, datain<="00000000"(数据信号转化为模拟信号)当时钟上升沿一来时,如果此时present_state=read,说明转换结束,datain<=D(数据信号转化为模拟信号)。

图2-2采样状态机结构框图

2.4数据转化BCD码

下面的代码是把数据转化为BCD码,模拟输入电压与输出电压的对应关系如表2-1

表2-1模拟输入输出电压表

这样由ADC0809收到的信号是01110110(76H),则对照表时,高4位01 的电压为 2.24V,而低4位0110是0.12V,所以最后的电压输出结果为

2.24+0.12=2.36V。

data1<="0000000000000000" when datain(7 downto 4)="0000" else

"0000001100010011" when datain(7 downto 4)="0001" else

"0000011000100101" when datain(7 downto 4)="0010" else

"0000100100111000" when datain(7 downto 4)="0011" else

"0001001001010000" when datain(7 downto 4)="0100" else

"0001010101100011" when datain(7 downto 4)="0101" else

"0001100001110101" when datain(7 downto 4)="0110" else

"0010000110001000" when datain(7 downto 4)="0111" else

"0010010100000000" when datain(7 downto 4)="1000" else

"0010100000010011" when datain(7 downto 4)="1001" else

"0011000100100101" when datain(7 downto 4)="1010" else

"0011010000111000" when datain(7 downto 4)="1011" else

"0011011101010000" when datain(7 downto 4)="1100" else

"0100000001100011" when datain(7 downto 4)="1101" else

"0100001101110101" when datain(7 downto 4)="1110" else

"0100011010001000" when datain(7 downto 4)="1111" else

"0000000000000000";

data2<="0000000000000000" when datain(3 downto 0)="0000" else

"0000000000100000" when datain(3 downto 0)="0001" else

"0000000000111001" when datain(3 downto 0)="0010" else

"0000000001011001" when datain(3 downto 0)="0011" else

"0000000001111000" when datain(3 downto 0)="0100" else

"0000000010011000" when datain(3 downto 0)="0101" else

"0000000100010111" when datain(3 downto 0)="0110" else

"0000000100110111" when datain(3 downto 0)="0111" else

"0000000101010110" when datain(3 downto 0)="1000" else

"0000000101110110" when datain(3 downto 0)="1001" else

"0000000110010101" when datain(3 downto 0)="1010" else

"0000001000010101" when datain(3 downto 0)="1011" else

"0000001000110100" when datain(3 downto 0)="1100" else

"0000001001010100" when datain(3 downto 0)="1101" else

"0000001001110011" when datain(3 downto 0)="1110" else

"0000001010010011" when datain(3 downto 0)="1111" else

"0000000000000000";

下面这段代码是BCD码的调整过程。在读取到转换数据后,先用查表的指令算出高、低4位的两个电压值,并分别用16位的BCD码表示。接着设计16位的BCD码加法。相加从最低4位开始,且每4位相加结果超过10时需作进位动作。

do1<=('0' & data1(3 downto 0))+('0' & data2(3 downto 0));

c1<="00000" when do1<"01010" else

do2<=('0' & data1(7 downto 4))+('0' & data2(7 downto 4))+c1;

c2<="00000" when do2<"01010" else

do3<=('0' & data1(11 downto 8))+('0' & data2(11 downto 8))+c2;

c3<="00000" when do3<"01010" else

"00001";

do4<=('0' & data1(15 downto 12))+('0' & data2(15 downto 12))+c3;

doo1<=do1 when do1<"01010" else

do1-"01010";

doo2<=do2 when do2<"01010" else

do2-"01010";

doo3<=do3 when do3<"01010" else

do3-"01010";

doo4<=do4 when do4<"01010" else

do4-"01010";

第3章数字电压表的测试与运行及下载

3.1数字电压表的编译

在【Processing】菜单下,点击【Start Compilation】命令,或直接点击

常用工具栏上的按钮,开始编译我们的项目。编译成功后,点击确定按钮。

图3-1数字电压表的原理图

3.2数字电压表的仿真

在【File】菜单下,点击【New】命令。在随后弹出的对话框中,切换到【Other Files】页。选中【Vector Waveform File】选项,点击 OK 按钮。随后把clk的周期设置为300.0ns,D的周期设置为20.0,再把INT的周期设置为30.0,最后再把RESET的置为高电平1.如图3-2所示。

图3-2进行波形仿真实验后得到波形图

图3-3 数字电压表仿真波形图

配置芯片引脚就是将原理图的输入端指定到实验板上可编程芯片与按钮相连的引脚编号,将输出端指定到实验板上可编程芯片与LED发光二极管相连的引脚编号。如图3-4配制引脚。

配置好引脚后,在编译一次,然后开始下载。如图3-5。

图3-5 下载后

3.3实验连线

10K10上时钟CLK0(1)接305.2Hz,RESET(83)接K1,CS(5)、RD(6)、WR(7)分别接0809的控制输入端,INT(8)接0809的INT; D[7-0](16-23)接0809的D0-D7(注意0809数据线标识反),DATDOUT(73-60)接a-dp,SLE3-SLE0; 0809的A0、A1、A2接d0-d2(置低),VREF+接VCC,VREF-接GND,CLK接MCU的ALE,IN0接模拟输出OU T。

3.4实验结果

可以改变电阻的值来改变电压。

操作图3-2

结论

通过此次短暂的硬件课程设计的学习,不仅增强了我对EDA这门技术的认识,而且还增加了我对计算机这门专业的专业性的认知,了解的一个项目的设计的全过程,而且还对QuartusⅡ软件进行硬件设计有了进一步的掌握。

作为一名计算机学生,我为自己能有这么好的一次实践机会而感到幸运,在这短暂的时间里,虽然认识不是很多,但其实为我们以后的路隐约的做好了铺垫,在以后的日子里我会更加努力的学习,努力的成为一名有用的计算机技术人员。

我们的主要学习任务是数字电压表设计。在初学时我对密密麻麻的线路有些无从下手的感觉,但渐渐了解后我发现了这其中的规律,每当小心翼翼的链接好线路时,心中充满了无限的喜悦.在学习过程中,我也遇到了各种各样的问题,每当遇到问题时我会找老师和同学帮助.同时也和同学们一起去图书馆借阅资料,上网查询有关信息,经过努力后先后终于完成了数字电压表的设计。

我会铭记这次短暂的硬件课程设计,在以后的日子里更加努力的学习和奋斗。

参考文献

[1] 潘松,王国栋,VHDL实用教程〔M〕.成都:电子科技大学出版社,2000.(1)

[2] 崔建明主编,电工电子EDA仿真技术北京:高等教育出版社,2004

[3] 李衍编著,EDA技术入门与提高王行西安:西安电子科技大学出版社,2005

[4] 侯继红, 李向东主编,EDA实用技术教程北京:中国电力出版社,2004

[5] 沈明山编著,EDA技术及可编程器件应用实训北京:科学出版社,2004

[6] 石俊斌,林辉.在PLD开发中提高VHDL的综合质量,单片机与嵌入式系统应用,

2003年,第4期

[7] 孙富明,李笑盈.基于多种EDA工具的FPGA设计,电子技术应用,2002年12

月,第1期

[8] 卢杰,赖毅.VHDL与数字电路设计.北京:科学出版社,2001

[9] 郑家龙,王小海,章安元.集成电子技术基础教程.北京:高等教育出版社,2002

[10] 李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,2000

控制装置与仪表课程设计

控制装置与仪表课程设计 课程设计报告 ( 2012-- 2013年度第二学期) 名称:控制装置与仪表课程设计 题目:炉膛压力系统死区控制系统设计院系: 班级: 学号: 学生姓名: 指导教师: 设计周数:一周 成绩: 日期:2013年7 月5日

一、课程设计(综合实验)的目的与要求 1.1 目的与要求 (1)认知控制系统的设计和控制仪表的应用过程。 (2)了解过程控制方案的原理图表示方法(SAMA图)。 (3)掌握数字调节器KMM的组态方法,熟悉KMM的面板操作、数据设定器和KMM数据写入器的使用方法。 (4)初步了解控制系统参数整定、系统调试的过程。 1.2设计实验设备 KMM数字调节器、KMM程序写入器、PROM擦除器、控制系统模拟试验台1 1.3 主要内容 1. 按选题的控制要求,进行控制策略的原理设计、仪表选型并将控制方案以SAMA 图表示出来。 2 . 组态设计 2.1 KMM组态设计 以KMM单回路调节器为实现仪表并画出KMM仪表的组态图,由组态图填写 KMM的各组态数据表。 2.2 组态实现 在程序写入器输入数据,将输入程序写入EPROM芯片中。 3. 控制对象模拟及过程信号的采集 根据控制对象特性,以线性集成运算放大器为主构成反馈运算回路,模拟控制对 象的特性。将定值和过程变量送入工业信号转换装置中,以便进行观察和记录。 4. 系统调试 设计要求进行动态调试。动态调试是指系统与生产现场相连时的调试。由于生产 过程已经处于运行或试运行阶段,此时应以观察为主,当涉及到必需的系统修改 时,应做好充分的准备及安全措施,以免影响正常生产,更不允许造成系统或设 备故障。动态调试一般包括以下内容: 1)观察过程参数显示是否正常、执行机构操作是否正常; 2)检查控制系统逻辑是否正确,并在适当时候投入自动运行; 3)对控制回路进行在线整定; 4)当系统存在较大问题时,如需进行控制结构修改、增加测点等,要重新组态下装。 二、设计(实验)正文 1设计题目:炉膛压力系统死区控制系统设计(如附图1) 附图1: 引风机 炉膛压力系统死区单回路控制系统

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数字电路课程设计报告(好)

天津职业技术师范大学电子工程学院电子技术之---万用表课程设计报告 同组学生姓名(学号):寡人猪八戒 任务分工::查阅资料设计电路并进行电脑仿真, 焊接电路并参与电路的安装与调试 负责撰写课程设计及实验总结的工作 设计时间:2012年04月28日——2012 年05月04日 指导教师: 一、课程设计的目的与要求(含设计指标) 1、设计目的 (1)了解ICL7107芯片工作原理及应用。 (2)能够使用电路仿真软件进行电路调试。 (3)掌握电子系统的一般设计方法。 (4)培养综合应用所学知识来指导实践的能力。 (5)掌握常用元器件的识别和测试。 (6)熟悉常用仪表,了解电路调试的基本方法。 2、设计要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真设计 (4)设计电路所需的直流电源。 (5)用分立元件和运放设计的万用表电路要求先用multisim进行电路仿真分析,仿真结果正确后,在进行安装调试。 3、设计指标 直流电压表:满量程+6V; 直流电流表:满量程10mA; 交流电压表:满量程6V,50HZ~1KHZ; 交流电流表:满量程10mA;

欧姆表:满量程分别为1KΩ,10KΩ,100KΩ 三、方案论证及选择 设计万用电表,总体来说有两种设计方案,来源于万用电表的指针式和数字式的两种类型。指针式万用电表,需有表头,同时主要用HA17741,LM324N等芯片,借助集成运放实现万用电表。但是考虑到表头的应用,还有本课题其他组同学采用这个方案,我们则采用了另一种方案,设计数字式万用电表。主要运用ICL7107来实现,ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。所以,用此芯片可以直接把模拟信号转换成数字信号,然后通过数码管显示出来。在数据采集时,采用分开设计,直流电压测量电路,直流电流测量电路,欧姆表测量电路,交流电流、电流测量电路。 三、原理设计(或基本原理) (1)基本原理:ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。

单片机课程设计报告——数字电压表[1]剖析

数字电压表 单片机课程设计报告 班级: 姓名: 学号: 指导教师: 2011 年3 月29 日

数字电压表电路设计报告 一、题目及设计要求 采用51系列单片机和ADC设计一个数字电压表,输入为0~5V线性模拟信号,输出通过LED显示,要求显示两位小数。 二、主要技术指标 1、数字芯片A/D转换技术 2、单片机控制的数码管显示技术 3、单片机的数据处理技术 三、方案论证及选择 主要设计方框图如下: 1、主控芯片 方案1:选用专用转化芯片INC7107实现电压的测量和实现,用四位数码管显示出最后的转换电压结果。缺点是京都比较低,内部电压转换和控制部分不可控制。优点是价格低廉。 方案2:选用单片机AT89C51和A/D转换芯片ADC0809实现电压的转换和控制,用四位数码管显示出最后的转换电压结果。缺点是价格稍贵;优点是转换京都高,且转换的过程和控制、显示部分可以控制。 基于课程设计的要求和实验室能提供的芯片,我选用了:方案2。 2、显示部分 方案1:选用4个单体的共阴极数码管。优点是价格比较便宜;缺点是焊接时比较麻烦,容易出错。 方案2:选用一个四联的共阴极数码管,外加四个三极管驱动。这个电路几乎没有缺点;优点是便于控制,价格低廉,焊接简单。 基于课程设计的要求和实验室所能提供的仪器,我选用了:方案2。

四、电路设计原理 模拟电压经过档位切换到不同的分压电路筛减后,经隔离干扰送到A/D 转换器进行A/D 转换。然后送到单片机中进行数据处理。处理后的数据送到LED 中显示。同时通过串行通讯与上位通信。硬件电路及软件程序。而硬件电路又大体可分为A/D 转换电路、LED 显示电路,各部分电路的设计及原理将会在硬件电路设计部分详细介绍;程序的设计使用汇编语言编程,利用Keil 和PROTEUS 软件对其编译和仿真。 一般I/O 接口芯片的驱动能力是很有限的,在LED 显示器接口电路中,输出口所能提供的驱动电流一般是不够的尤其是设计中需要用到多位LED ,此时就需要增加LED 驱动电路。驱动电路有多种,常用的是TTL 或MOS 集成电路驱动器,在本设计中采用了74LS244驱动电路。 本实验采用AT89C51单片机芯片配合ADC0808模/数转换芯片构成一个简易的数字电压表,原理电路如图1所示。该电路通过ADC0808芯片采样输入口IN0输入的0~5 V 的模拟量电压,经过模/数转换后,产生相应的数字量经过其输出通道 D0~D7传送给AT89C51芯片的P0口。AT89C51负责把接收到的数字量经过数据处理,产生正确的7段数码管的显示段码,并通过其P1口传送给数码管。同时它还通过其三位I/O 口P1.0、P1.1、P1.2、P1.3产生位选信号,控制数码管的亮灭。另外,AT89C51还控制着ADC0808的工作。其ALE 管脚为ADC0808提供了1MHz 工作的时钟脉冲;P2.4控制ADC0808的地址锁存端 (ALE);P2.1控制ADC0808的启动端(START);P2.3控制ADC0808的输出允许端(OE);P2.0控制ADC0808的转换结束信号(EOC)。 电路原理图如下所示,三个地址位ADDA,ADDB,ADDC 均接高电平+5V 电压,因而所需测量的外部电压可由ADC0808的IN7端口输入。由于ADC0808

检测技术及仪表课程设计报告

检测技术及仪表课程设计报告 1、1 课程设计目的针对“应用技术主导型”普通工科高等教育的特点,从工程创新的理念出发,以工程思维模式为主,旨在培养突出“实践能力、创新意识和创业精神”特色的、适应当前经济社会发展需要的“工程应用型人才”。通过在模拟的实战环境中系统锻炼,使学生的学习能力、思维能力、动手能力、工程创新能力和承受挫折能力都得到综合提高。以增强就业竞争力和工作适应力。 1、2课题介绍本课设题目以多功能动态实验装置为对象,要求综合以前所学知识,完成此实验装置所需参数的检测。设计检测方案,包括检测方法,仪表种类选用以及需要注意事项,并分析误差产生的原因等等。 1、3 实验背景知识换热设备污垢的形成过程是一个极其复杂的能量、质量和动量传递的物理化学过程,污垢的存在给广泛应用于各工业企业的换热设备造成极大的经济损失,因而污垢问题成为传热学界和工业界分关注而又至今未能解决的难题之一。 1、4 实验原理 1、4、1 检测方法按对沉积物的监测手段分有:热学法和非传热量的污垢监测法。热学法中又可分为热阻表示法和温差表示法两种;非传热量的污垢监测法又有直接称重法、厚度测量法、压降测量法、放射技术、时间推移电影法、显微照相法、电解法

和化学法。这些监测方法中,对换热设备而言,最直接而且与换热设备性能联系最密切的莫过于热学法。这里选择热学法中的污垢热阻法。 1、4、2 热阻法原理简介表示换热面上污垢沉积量的特征参数有:单位面积上的污垢沉积质量mf,污垢层平均厚度δf和污垢热阻Rf。这三者之间的关系由式表示: (1-1)图1-1 清洁和有污垢时的温度分布及热阻通常测量污垢热阻的原理如下:设传热过程是在热流密度q为常数情况下进行的,图1a为换热面两侧处于清洁状态下的温度分布,其总的传热热阻为: (1-2)图1b为两侧有污垢时的温度分布,其总传热热阻为: (1-3)忽略换热面上污垢的积聚对壁面与流体的对流传热系数影响,则可认为(1-4)于是两式相减得: (1-5)该式表明污垢热阻可以通过清洁状态和受污染状态下总传热系数的测量而间接测量出来。实验研究或实际生产则常常要求测量局部污垢热阻,这可通过测量所要求部位的壁温表示。为明晰起见,假定换热面只有一侧有污垢存在,则有:(1-6)(1-7)若在结垢过程中,q、Tb均得持不变,且同样假定(1-8)则两式相减有: (1-9)这样,换热面有垢一侧的污垢热阻可以通过测量清洁状态和污染状态下的壁温和热流而被间接测量出来。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

数字电压表设计课程设计

东北石油大学课程设计 2

东北石油大学课程设计任务书 课程硬件课程设计 题目数字电压表设计 专业 主要内容、基本要求等 一、主要内容: 利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。 二、基本要求: 1、A/D转换接口电路的设计,负责对ADC0809的控制。 2、编码转换电路设计,负责把从ADC0809数据总线中读出的电压转换成BCD码。 3、输出七段显示电路的设计,负责将BCD码用7段显示器显示出来。 三、参考文献 [1] 潘松.EDA技术实用教程[M].北京:科学出版社, 2003.11-13. [2] 包明.《EDA技术与数字系统设计》.北京航天航空大学出版社. 2002. [3] EDA先锋工作室.Altera FPGA/CPLD设计[M].北京:人民邮电出版社 2005.32-33. [4] 潘松.SOPC技术实用教程[M] .清华大学出版社.2005.1-15. 完成期限第18-19周 指导教师 专业负责人

摘要 本文介绍了基于EDA技术的8位数字电压表。系统采用CPLD为控制核心,采用VHDL语言实现,论述了基于VHDL语言和CPLD芯片的数字系统设计思想和实现过程。在硬件电子电路设计领域中,电子设计自动化(EDA)工具已成为主要的设计手段,而VHDL语言则是EDA的关键技术之一,。VHDL的英文全名是 Very-High-Speed Integrated Circuit HardwareDescription Language,它采用自顶向下的设计方法,即从系统总体要求出发,自上至下地将设计任务分解为不同的功能模块,最后将各功能模块连接形成顶层模块,完成系统硬件的整体设计。 电子设计自动化技术EDA的发展给电子系统的设计带来了革命性的变化,EDA软件设计工具,硬件描述语言,可编程逻辑器件(PLD)使得EDA技术的应用走向普及。CPLD是新型的可编程逻辑器件,采用CPLD进行产品开发可以灵活地进行模块配置,大大缩短了产品开发周期,也有利于产品向小型化,集成化的方向发展。而 VHDL语言是EDA的关键技术之一,它采用自顶向下的设计方法,完成系统的整体设计。 本文用CPLD芯片和VHDL语言设计了一个八位的数字电压表。它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。 关键词:数字电压表;QuartusⅡ软件;EDA(电子设计自动化)

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路课程设计报告

课程设计任务书 学生姓名:吴培力专业班级:信息SY1201 指导教师:刘可文工作单位:信息工程学院 题目: 数字式电子锁的设计与实现 初始条件: 本设计既可以使用集成电路和必要的元器件等,也可以使用单 片机系统构建数字密码电子锁。自行设计所需工作电源。电路组成 原理框图如图1,数字密码锁的实际锁体一般由电磁线圈、锁栓、 弹簧和锁柜构成。当线圈有电流时,产生磁力,吸动锁栓,即可开 锁。反之则不开锁。 图1 数字式电子锁原理框图要求完成的主要任务:(包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)课程设计中,锁体用LED代替(如“绿灯亮”表示开锁,“红灯亮”表示闭锁)。 2)其密码为4位二进制代码,密码可以通过密码设定电路自行设定。 3)开锁指令为串行输入码,当开锁密码与存储密码一致时,锁被打开。当开锁密码与存储密码不一致时,可重复进行,若连续三次未将锁打开,电路则报警并实现自锁。(报警动作为响1分钟,停10秒) 4)选择电路方案,完成对确定方案电路的设计。计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。安装调试设计电路。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、年月日,布置作课设具体实施计划与课程设计报告格式的要求说明。 2、年月日至年月日,方案选择和电路设计。 3、年月日至年月日,电路调试和设计说明书撰写。 4、年月日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

双通道数字电压表课程设计

目录 1 引言.......................................................... - 2 - 2设计原理及要求................................................ - 2 - 2.1数字电压表的实现原理..................................... - 2 - 2.2数字电压表的设计要求..................................... - 2 - 3软件仿真电路设计................................. 错误!未定义书签。 3.1设计思路.................................... 错误!未定义书签。 3.3设计过程.................................... 错误!未定义书签。 3.4 AT89C51的功能介绍....................................... - 3 - 3.4.1简单概述........................................... - 3 - 3.4.2主要功能特性....................................... - 3 - 3.4.3 AT89C51的引脚介绍................................. - 3 - 3.5 ADC0808的引脚及功能介绍................................. - 5 - 3.5.1芯片概述........................................... - 5 - 3.5.2 引脚简介........................................... - 5 - 3.5.3 ADC0808的转换原理................................. - 6 - 3.6 74LS373芯片的引脚及功能................................. - 6 - 3.6.1芯片概述........................................... - 6 - 3.6.2引脚介绍........................................... - 6 - 3.7 LED数码管的控制显示..................................... - 7 - 3.7.1 LED数码管的模型................................... - 7 - 3.7.2 LED数码管的接口简介............................... - 7 - 4系统软件程序的设计............................... 错误!未定义书签。 4.1 主程序................................................. - 15 - 4.2 A/D转换子程序.......................................... - 16 - 4.3 中断显示程序............................... 错误!未定义书签。5电压表的调试及性能分析........................... 错误!未定义书签。 5.1 调试与测试................................. 错误!未定义书签。 5.2 性能分析............................................... - 17 - 6电路仿真图....................................... 错误!未定义书签。7总结......................................................... - 14 - 参考文献........................................... 错误!未定义书签。

检测及仪表课程设计(DOC)

目录 1设计目的 (2) 2题目介绍 (2) 3 背景意义 (2) 3.1实验装置简介 (2) 3.2研究污垢传热的理论知识 (3) 4参数检测与控制 (5) 4.1进出口温度水浴温度测量 (5) 4.1.1 仪表种类选用及依据 (5) 4.1.2 注意事项 (6) 4.1.3 可能误差 (6) 4.2 实验管壁温测量 (7) 4.2.1 仪表种类选用及依据 (7) 4.2.2 可能误差 (7) 4.3 水位的测量 (7) 4.3.1 仪表种类选用及依据 (7) 4.3.2 注意事项 (8) 4.3.3 可能误差 (8) 4.4 实验管内流体流量的测量 (8) 4.4.1仪表种类选用与依据 (8) 4.4.2 可能误差 (10) 4.5 差压测量 (10) 4.5.1仪表种类选用与依据 (10) 4.5.2 可能误差 (11) 5.参考文献 (12)

第1章绪论 1.1设计目的 针对“应用技术主导型”普通工科高等教育的特点,从工程创新的理念出发,以工程思维模式为主,旨在培养突出“实践能力、创新意识和创业精神”特色的、适应当前经济社会发展需要的“工程应用型人才”。通过在模拟的实战环境中系统锻炼,使学生的学习能力、思维能力、动手能力、工程创新能力和承受挫折能力都得到综合提高。以增强就业竞争力和工作适应力。 2题目介绍 本课设题目以一多功能动态实验装置为对象,要求综合以前所学知识,完成此实验装置所需检测参数的检测。设计检测方案,包括检测方法、仪表种类选用以及需要注意事项,并分析误差产生的原因等等。 该实验装置上,需要检测和控制的参数主要有: 1、温度:包括实验管流体进口(20~40℃)、出口温度(20~80 ℃), 2、实验管壁温(20~80 ℃)以及水浴温度(20~80 ℃) 3、水位:补水箱上位安装,距地面2m,其水位要求测量并控制,以适应不同流速的需要,水位变动范围200mm~500mm 4、流量:实验管内流体流量需要测量,管径Φ25mm,流量范围0.5~4m3/h 5、差压:由于结垢导致管内流动阻力增大,需要测量流动压降,范围为0~50mm 水柱 3 背景意义 3.1实验装置简介 如图3—1所示的实验装置是东北电力大学节能与测控研究中心杨善让教授为首的课题组基于测量新技术—软测量技术开发的多功能实验装置。 基于本实验装置,先后完成国家、东北电力公司、省、市多项科研项目并获奖,鉴定结论为国际领先。目前承担国家自然科学基金、973项目部分实验工作。

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数字电路课程设计报告

数字电路课程设计报告 导语:真正的知识来自内心,而不是得自别人的传授。同时,唯有出自内心的知识,才能使人拥有真正的智慧。以下小编为大家介绍数字电路课程设计报告文章,欢迎大家阅读参考! 数字电路课程设计报告设计题目:数字电子钟逻辑电路专业班级:自动化112班学生姓名: xx 学号:xx指导教师: xx 设计时间: xx 教师评语:成绩评阅教师日期 所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能实现准确计时,并显示时、分、秒,而且可以方便准确的对时间进行调节。在此基础上,还可以实现整点报时的功能。因此,数字钟的应用十分广泛。我们要通过这次的课程设计掌握数字钟的原理,学会设计简单的数字钟。 用中小规模集成电路设计一台能显示时、分、秒24时制地的数字电子钟,具体要求如下: 时为00-23二十四进制计数器;秒、分为00-59六十进制计数器; 整点报时,整点报时电路要求在每个整点前鸣叫五次低音,整点时再鸣叫一次高音。

数字电子钟所采用的是十六进制计数器74LS161和十进制计数器74SL160,根据时分秒各个部分的的不同功能,设计成不同进制。秒的个位,需要10进制计数器,十位需6进制计数器。秒部分设计与分钟的设计完全相同;时部分的设计为当时钟计数到24时,使计数器的小时部分清零,从而实现整体循环计时的功能。 (1)数字电子技术实验系统箱, (2)直流稳压电源, (3)集成芯片:74LS161 2个、74LS160 4个、 74LS00 2个、 74LS20 1个。 (4)喇叭,1/4W、8Ω。 计数部分:利用74LS161芯片,74LS160芯片和74LS00芯片组成的计数器,它们采用异步连接,利用外接标准1Hz 脉冲信号进行计数。 1. 显示部分:将两片74LS161芯片和四片74LS60的Q0Q1Q2Q3脚分别接到实验箱上的数码显示管上,根据脉冲的个数显示时间。 (一)设计步骤及方法 所有74LS161芯片和74LS160的16脚接5V电源(置为1),3脚、4脚、5脚、6脚和8脚接地。74LS00芯片的14脚接5V电源,7接地。 1. 秒设计 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器74LS160和与非门74LS00在面包板上设计10进制计数器显示秒的个位。

单片机课程设计 数字电压表设计

《单片机原理及应用》课程设计报告书 课题名称数字电压表设计 名姓 学号 专业

指导教师 机电与控制工程学院月年日 1 任务书 电压表是测量仪器中不可缺少的设备,目前广泛应用的是采用专用集成电路实现的数字电压表。本系统以8051单片机为核心,以逐次逼近式A/D转换器ADC0809、LED显示器为主体,设计了一款简易的数字电压表,能够测量0~5V的直流电压,最小分辨率为0.02V。 该设计大体分为以下几个部分,同时,各部分选择使用的主要元器件确定如下: 1、单片机部分。使用常见的8051单片机,同时根据需要设计单片机电路。 2、测量部分。该部分是实验的重点,要求将外部采集的模拟信号转换成数字信号,通过单片机的处理显示在显示器上,该部分决定了数字电压表的精度等主要技术指标。根据需要本设计采用逐次逼近型A∕D转换器ADC0809进行模数转换。 3、键盘显示部分。利用4×6矩阵键盘的一个按键控制量程的转换,3或4位LED显示。其中一位为整数部分,其余位小数部分。 关键词:8051 模数转换LED显示矩阵键盘 2 目录

1 绪论 (1) 2 方案设计与论证 (2) 3 单元电路设计与参数计算 (3) 4 总原理图及参考程序 (8) 5 结论 (14) 6 心得体会 (15) 参考文献16 (7) 3 1.绪论 数字电压表的基本工作原理是利用A/D转换电路将待测的模拟信号转换成数字信号,通过相应换算后将测试结果以数字形式显示出来的一种电压表。较之于一般的模拟电压表,数字电压表具有精度高、测量准确、读数直观、使用方便等优

点。 电压表的数字化测量,关键在于如何把随时连续变化的模拟量转化成数字量,完成这种转换的电路叫模数转换器(A/D)。数字电压表的核心部件就是A/D转换器,由于各种不同的A/D转换原理构成了各种不同类型的DVM。一般说来,A/D 转换的方式可分为两类:积分式和逐次逼近式。 积分式A/D转换器是先用积分器将输入的模拟电压转换成时间或频率,再将其数字化。根据转化的中间量不同,它又分为U-T(电压-时间)式和U-F(电压-频率)式两种。 逐次逼近式A/D转换器分为比较式和斜坡电压式,根据不同的工作原理,比较式又分为逐次比较式及零平衡式等。斜坡电压式又分为线性斜坡式和阶梯斜坡式两种。 在高精度数字电压表中,常采用由积分式和比较式相结合起来的复合式A/D转换器。本设计以8051单片机为核心,以逐次比较型A/D转换器ADC0809、LED 显示器为主体,构造了一款简易的数字电压表,能够测量1路0~5V直流电压,最小分辨率0.02V。 4 2.方案设计与论证 基于单片机的多路数字电压表电路的基本组成如图3.1所示。

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

相关主题
文本预览
相关文档 最新文档