当前位置:文档之家› 信号灯控制电路

信号灯控制电路

信号灯控制电路
信号灯控制电路

电子课程设计

——交通灯控制电路

学院:电子信息工程学院

专业、班级:自动化12级

姓名:

学号:

指导老师:任青莲

2014年12月

目录

一、设计任务与要求 (3)

1.1、设计任务 (3)

1.2、设计目的 (3)

二、总体框图 (3)

2.1、设计思想 (3)

2.2、设计方案及分析 (4)

三、选择器件 (5)

3.1、实验所需器件 (5)

3.2、器件说明 (7)

四、功能模块 (8)

4.1、控制器与信号灯的关系 (8)

4.2、状态译码器 (8)

4.3、信号灯计时显示逻辑模块 (10)

4.4、信号灯顺序定时置数模块 (10)

4.5、秒脉冲发生器 (11)

五、总体设计电路图 (14)

5.1、总体设计原理 (14)

5.2、总体设计电路图 (15)

5.3、仿真结果 (16)

5.4、硬件实验 (19)

六、心得体会 (21)

交通灯控制电路

一、设计任务与要求

1.1、设计任务

设计一个十字路口的交通信号灯控制器,控制主干道、副干道两条交叉道路上的车辆通行,具体要求如下:

1)、每条道路设一组信号灯,每组信号灯由红、黄、绿3个灯组成,绿灯表示允许通行,红灯表示禁止通行,黄灯表示该车道上已通过停车线的车辆继续通行,未过停车线的车辆停止通行。

2)、主干道通25S,副干道25S,每次变换通行车道之前,要求黄灯先亮时,才能改变换车道。

3)、黄灯亮时每秒闪一次

1.2、设计目的

通过本设计熟悉用中规模集成电路进行时序逻辑电路和组合逻辑电路设计的方法,掌握简单数字控制器的设计方法。

二、总体框图

2.1、设计思想

设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。

图1 十字路口交通信号灯控制示意图

根据交通规则,交通信号灯自动定时控制器所需实现的功能如下:

(1)主、支干道交替通行

(2)每次绿灯换红灯前,黄灯先亮较短时间,以等待十字路口内滞留车辆通过。

(3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。

(4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

(5)计数器的状态由EWB显示器件库中的带译码器七段数码管显示,红、黄、绿三色信号灯由EWB显示器件库中的指示灯模拟

2.2、方案设计与分析

设主干道通行时间为N

1,支干道通行时间为N

2

,主、支干道黄灯亮的时间均

为N

3,通常设置为N

1

>N

2

>N

3

。系统工作流程图如图5-2所示。

交通灯控制电路主要由以下几部分构成,如图2.2所示,有电源电路,脉冲

电路,分频电路,倒计时电路,(交通灯)状态控制电路,灯显示电路电路。

从交通灯控制电路功能模块框图可知在倒计时电路,状态控制电路,灯显示电路三块电路产生设计分歧。所以实现交通灯控制电路可以有2种方案:

(1)先设计出让交通灯按4种状态循环变换的灯显示电路,再通过灯的状态控制倒计时显示器的显示的功能;

(2)先设计让倒计时显示器按规律运行的电路,再通过倒计时电路的信号来控制交通灯按4种状态循环变换;方案1,2均符合设计的要求,但通过具体实践和分析方案1显示电路需要比较多的芯片,其电路不是太复杂,但是过程比较繁琐。而方案2让显示电路来控制灯的发亮,这样显示电路就不受其他信号的影响,并且通过显示电路来控制交通灯的状态变换比较容易。综上所述,选择方案2来设计具体的电路。

三、选择器件

3.1、实验所需器件:

3.2、器件说明:

1)、电路中芯片的管脚图:

图4 74LS190芯片管脚图

图5 74LS465n芯片管脚图

2)、电路里芯片的真值表

表6 74LS00真值表表7 74LS04真值表

表8 74LS163真值表

表9 74LS190真值表

四、功能模块

4.1、控制器与信号灯的关系

状态控制器: 由流程图可见,系统有4种不同的工作状态(S0~S3),4位二进制递增集成计数器74163作状态控制器,74163的功能表如图所示,电路符号在图中可见,取底两位输出QB、QA作状态控制器的输出。状态编码S0、S1、S2、S3分别为00、01、10、11.

4.2、状态译码器:

以状态控制器输出(QB、QA)作为译码器的输入变量,根据四个不同通行状态对主、支干道三色信号灯的控制要求,列出灯的控制要求,列出灯控函数真值表,如表X所示。

表10 灯控函数真值表

由灯控函数真值表可写出六盏灯的逻辑表达式,经化简获得六盏灯的逻辑式为

R=QB r=Q`B

Y=Q`BQA y=QBQA

G=Q`BQ`A g=QBQ`A

根据灯控函数逻辑表达式,可写出由与门和非门组成的状态译码器电路,如图3所示。将状态控制器,状态译码器以及模拟三色信号灯相连接,构成三色信号灯逻辑控制电路,如图4所示。需要特别指出的是,上述获得状态译码电路的过程完全可以借助EWB自动进行,在EWB主界面下,打开仪器库,调出逻辑转换仪。在逻辑转换仪面板上的真值表内填入某灯的输入变量和输出函数值,按下“真值表→简化逻辑函数”按钮,即可得到简化的灯控逻辑函数。再按下“简化逻辑函数→逻辑图”按钮,即可得到某灯的逻辑图。

图11 三色信号灯逻辑显示电路

黄灯闪烁控制:要求黄灯每秒闪一次,故一个秒脉冲脉冲与控制黄灯的输出信号用一个与门连接进来再接到黄灯就可以实现

4.3、信号灯计时显示逻辑电路

选用两片74190十进制可逆计数器构成2位十进制可预置数的减法计数器。74190具有异步并行置数功能、保持功能,虽然没有专用的清零输入端,但可以借助QA、QB、QC、QD的输出数据间接实现清零功能。

两片计数器之间采用异步连接方式,利用个位计数器的借位输出脉冲(RCO`)直接作为十位计数器的计数脉冲(CLK),个位计数器输入秒脉冲作为计数脉冲。选用两片只带译码器功能的七段显示数码管实现两位十进制数显示。D1、C1、B1、A1和D0、CO、B0、A0是十位和个位计数器的8421码置数输入端。由74190功能表可知,该计数器在零状态时RCO`端输出低电平。将个位与十位计数器的RCO`端通过或门控制两片计数器的置数控制端LOAD`(低电平有效),从而实现了计数器减计数至“00”状态瞬间完成置数的要求。将数据输入端的8421BCD码置入计数器。可以选择100以内的预置数值,实现0~100s内的计时显示要求。

图12 信号灯计时显示逻辑电路

4.4、信号灯顺序定时置数模块

为使系统简化,如上所述,用同一减法计数器分时显示主、支干道通行时间(即主、支干道绿灯亮的时间)和主支干道通行转换中黄灯亮的时间,为此,必须解决好按顺序定时置数问题。

选用三片74465可组成按顺序定时置数的控制电路。三片74465输入端分别以8421BCD码形式设定主、支干道路通行时间和黄灯亮的时间,输出端分别按高、底位对应关系并联后按D7~D0由高位到低位排列后,接到递减计数器的置数输入端。三片74465的选通控制端G2`分别命名为AG`、Ag和AY`,分别表示主干道的绿灯、支干道的绿灯和黄灯选通(低电平有效)、并完成对递减计数器的预置数。三片74465任何时刻只能有一篇选通,其他两片输出端均处于高阻态。

图13 递减计数器的分时置数控制电路

4.5、秒脉冲发生器

秒脉冲发生器可由555多谐振荡器构成,为简化电路,可直接选用秒脉冲信号源代替秒脉冲发生器。

555定时器(又称时基电路)是一个模拟与数字混合型的集成电路。按其工艺分双极型和CMOS型两类,其应用非常广泛。

1.555定时器的组成和功能

图13是555定时器内部组成框图。它主要由两个高精度电压比较器A

1、A

2

一个RS触发器,一个放电三极管和三个5KΩ电阻的分压器而构成。

图14 555定时器内部组成框图

它的各个引脚功能如下:

1脚:外接电源负端V SS 或接地,一般情况下接地。

8脚:外接电源V CC ,双极型时基电路V CC 的范围是4.5 ~ 16V ,CMOS 型时基电路V CC 的范围为3 ~ 18V 。一般用5V 。

3脚:输出端Vo 2脚:TL 低触发端 6脚:TH 高触发端

4脚:D R 是直接清零端。当D R 端接低电平,则时基电路不工作,此时不论

TL 、TH 处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:V C 为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF 电容接地,以防引入干扰。

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电。 在1脚接地,5脚未外接电压,两个比较器A 1、A 2基准电压分别为CC

CC V 3

1,V 32的情况下,555时基电路的功能表如表所示:

1

23

4

4

321D

C

B

A

5K 5K

5K

+

-

T

Q

&

&

Q

+

-

A

A S

R

V 1

V 21

D

Q

V TH

TL

V R CC

V SS

1

2

8

4

5

6

3

7

1

2

C D

表15 555时基电路功能表

R

TRIG

Q

DIS CVOL T

THR

G N D

1

24

V C C

5

3

76

NE555

VCC

8

U5

C1R215K

R668K

CP

+C210uF

C310uF

+

0.1uF

VCC

GND

VCC

图16 秒脉冲发生器原理

如图所示,R6、C2组成一个串联RC 充放电电路,在NE555的7脚上输出一个方波信号,C2上得到一个三角波。此三角波送到NE555的2脚输入端。由NE555内部的比较器和门电路共同作用,维持7脚上的方波信号和3脚上的输出方波。

根据555定时器的功能表可知到由555定时器构成的多谐振荡器 接通电源后,电容C 被充电,当V C 上升到2/3V CC 时,触发器被复位,同时发电BJT T 导通,此时V O 为低电压,电容C 通过R 2和T 放电,使V C 下降。当V C 下降到(1/3)V CC 时,触发器又被置位,V O 翻转为高电平。电容器C 放电所需的时间为:

t PL=R2Cln2 可近似看成t PL=0.7R2C

当C放电结束时,T截止,VCC将通过R1、R2向电容器C充电,VC由(1/3)V CC上升到(2/3)V CC所需的时间为:

t PH=(R1+R2)Cln2 可近似看成t PH=0.7(R1+R2)C

而当V C上升到(2/3)V CC时,触发器又周而复始,在输出端就得到一个周期性的方波,其频率为:

f=1/( t PL +t PH) 可近似看成f=1.43/[(R1+2R2)C

五、总体设计电路图

5.1、总体设计原理

5.2、总体设计电路图

图16 总体设计电路图

5.3、仿真结果

1)、副干道红灯亮时,主干道黄灯亮,副干道禁止通行,主干道缓慢通行,黄灯闪烁5s:

图17 状态1

2)、主干道红灯亮时,副干道绿灯亮,主干道禁止通行,副干道允许通行,红灯亮25s:

图18 状态2

3)、主干道红灯亮时,副干道黄灯亮,主干道禁止通行,副干道缓慢通行,黄灯闪烁5s:

图19 状态3

4)、副干道红灯亮时,主干道绿灯亮,主干道允许通行,副干道禁止通行,红灯亮25s:

图20 状态4

5.4、硬件实验

1)硬件总体电路图

图21 副干道红灯亮,主干道黄灯亮

图22 主干道红灯亮,副干道黄灯亮

智能交通信号灯控制系统设计

智能交通信号灯控制系 统设计 LG GROUP system office room 【LGA16H-LGYY-LGUA8Q8-LGA162】

智能交通信号灯控制系统设计 摘要:本文对交通灯控制系统进行了研究,通过分析交通规则和交通灯的工作原理,给出了交通灯控制系统的设计方案。本系统是以89C51单片机为核心器件,采用双机容错技术,硬件实现了红绿灯显示功能、时间倒计时显示功能、左、右转提示和紧急情况发生时手动控制等功能。 关键词:交通灯;单片机;双机容错 0 引言 近年来随着机动车辆发展迅速,给城市交通带来巨大压力,城镇道路建设由于历史等各种原因相对滞后,特别是街道各十字路口,更是成为交通网中通行能力的“隘口”和交通事故的“多发源”。为保证交通安全,防止交通阻塞,使城市交通井然有序,交通信号灯在大多数城市得到了广泛应用。而且随着计算机技术、自动控制技术和人工智能技术的不断发展,城市交通的智能控制也有了良好的技术基础,使各种交通方案实现的可能性大大提高。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导的计算机综合管理系统,是现代城市交通监控指挥系统中最重要的组成部分。本文设计的交通灯管理系统在实现了现代交通灯系统的基本功能的基础上,增加了容错处理技术(双机容错)、左右转提示和紧急情况(重要车队通过、急救车通过等)发生时手动控制等功能,增强了系统的安全性和可控性。 1 系统硬件电路的设计 该智能交通灯控制系统采用模块化设计兼用双机容错技术,以单片机89C51为控制核心,采用双机容错机制,结合通行灯输出控制显示模块、时间显示模块、手动模块以及电源、复位等功能模块。现就主要的硬件模块电路进行说明。 主控制系统 在介绍主控制系统之前,先对交通规则进行分析。设计中暂不考虑人行道和主干道差别,对一个双向六车道的十字路口进行分析,共确定了9种交通灯状态,其中状态0为系统上电初始化后的所有交通灯初试状态,为全部亮红灯,进入正常工作阶段后有8个状态,大致分为南北直行,南北左右转,东西直行,与东西左右转四个主要状态,及黄灯过渡的辅助状态。主控制器采用89C51单片机。单片机的P0口和P2口分别用于控制南北和东西的通行灯。 本文的创新之处在于采用了双机容错技术,很大程度上增强了系统的可靠性。容错技术以冗余为实质,针对错误频次较高的功能模块进行备份或者决策机制处理。但当无法查知运行系统最易出错的功能,或者系统对整体运行的可靠性要求很高时,双机容错技术则是不二选择。 双机容错从本质上讲,可以认为备置了两台结构与功能相同的控制机,一台正常工作,一台备用待命。传统的双机容错的示意图如图1所示,中U1和U2单元的软硬件结构完全相同。如有必要,在设计各单元时,通过采用自诊断技术、软件陷阱或Watch dog等系统自行恢复措施可使单元可靠性达到最大限度的提高。其关键部位为检测转换(切换)电路。 图 1 传统双机容硬件错示意图

交通信号灯控制系统

株洲师范高等专科学校物理与电子工程系毕业论文 交通信号灯控制系统 姓名:彭胜 指导老师:肖利君 专业:应用电子技术 班级:07级应电 学号:04207135 时间:2010-5-5至2010-5-28

摘要 随着经济发展,城市化速度加快,机动车辆占有量急剧增加,由此引发出日益严重的交通问题:交通拥挤甚至堵塞,交通事故频繁,空气和噪声污染严重,公共运输系统效率下降等。解决这一问题通常有两种办法,一种是修路造桥,这对道路交通状况的改善是一种最直接的办法,但它需要巨额的投资,且在城市中心区受拆迁的限制,很难实施.另一种是在现有的道路交通条件下,实施交通控制和管理,充分发挥现有道路的通行能力,大量事实已经证明这种方法的有效性。 通常,一个经验丰富的交通警察能在极短的时间内把一个交叉路口的交通阻塞缓解或解除,但他的作用范围往往局限于单个交叉路口。而现代的道路交通非常复杂,常常是几个或几十个甚至是成百上千个路口互相关联,在这种情况下,任何一个经验丰富的交通警察都无能为力了.因此,人们越来越关注把先进的科学技术用于交通管理,从而促进了交通自动控制技术的不断发展。我的设计在倒计时间范围上充裕的,用两片74LS192来实现。其置数是灵活可调的,比如将其置数为“0010,0000”(20),来实现绿灯的倒计时计数功能。其倒计时间最长可达150秒。 关键字交通控制自相关自动操作置数灵活可调 Abstract Along with the economic development, urbanization rate, motor vehicle speed increase sharply, which caused a serious traffic problems: traffic jams, even frequent accidents, air and noise pollution is serious, the public transportation system efficiency, etc. To solve this problem are usually have two ways, one is to build the bridge, the road traffic improvement is one of the most direct way, but it needs a huge investment in urban central district, and by the limit, difficult to enforce. Another is in the existing road traffic conditions, implement traffic control and management, give full play to the existing road capacity, a large number of facts have proved that this method is effective. Usually, a rich experience of traffic police in a very short time to an intersection traffic jams ease or remove the scope, but he often confined to a single intersection. But modern traffic is very complex, often several dozens or even hundreds of crossing mutual association, in this case, a rich experience of traffic police are powerless. Therefore, people pay more and more

交通信号灯控制器

太原理工大学现代科技学院数字电子技术基础课程设计 设计名称交通信号灯控制器 专业班级自动化12-1 学号 姓名 指导教师张文爱

交通信号灯控制器 一、设计要求: 通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。 1.设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 2.用红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 3.主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 4.主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 5.在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 二.设计方案: 1,设计思想及方案论证: 本设计要求设计一个主干道绿灯45秒、支干道绿灯25秒的交通灯控制系统,每次由绿灯变为红灯时应有5秒黄灯亮作为过渡,分别用红、黄、绿三色发光二极管表示信号灯,并用数码管显示倒计时。因此,本设计需

要一个脉冲产生模块、信号灯模块、倒计时模块、数码显示模块和主控模块。脉冲产生电路用以驱动倒计时电路,置数电路将交通灯亮时间预置到计数电路和寄存器中,信号灯模块对信号灯的各种状态进行循环控制,倒计时模块以基准时间秒为单位做倒计时,数码显示模块显示倒计时的时间,主控模块对电路种的各个模块进行级联控制。 交通信号灯控制电路,交通灯采用发光二极管,显示时间则采用自带译码器的数码管显示。系统需要每秒减数,所以可以采用数字电路箱产生秒脉冲(数字电路实验箱中已给出),经由一个脉冲驱动电路后产生信号灯需要的三种脉冲,即45s,25s,5s,传递给控制器,由控制器发出状态。译码器接受状态后译码,输出控制信号灯和数码管显示的状态。 2,设计方案的工作原理: 1.倒计时电路(定时电路) 倒计时器由两位4位十进制可逆同步计数器(双时钟)74LS192、一个非门和一或门构成。其组成如图所示,其中74LS192是上升沿触发,CPU

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

交通信号灯的自动控制

交通信号灯的自动控制 1. 设要求以及主要内容 (1) 2.总体设计 (1) 2.1.555秒脉冲模块设计 (1) 2.2.控制单元设计 (2) 2.2.1 4秒定时电路 (2) 2.2.2 6秒定时电路 (3) 2.2.3 25秒定时电路 (4) 2.2.4 JK时序电路 (4) 2.2.5时序信号 (6) 3.设计心得 (6) 4.参考文献 (7) 5.附录 (8)

交通灯的自动控制 1. 设要求以及主要内容 1.通常情况下,大道绿灯亮,小道红灯亮; 2.若小道来车,大道经6秒由绿灯变为黄灯;再经过4秒,大道由黄灯变为红灯,同时,小道由红灯变为绿灯; 3. 小道变绿灯后,若大道来车不到3辆,则经过25秒钟后自动由红灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯; 4.如果小道在绿灯亮时,小道绿灯亮的时间还没有到25秒,只要大道检测到已经超过3辆车在等候,那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯,同时,大道由红灯变为绿灯。 2.总体设计 首先由一个555发生产生一个秒脉冲,提供给FPGA一个时钟信号,然后经过控制单元处理以后输出给信号灯。总体原理框图如图1 图1 原理框图 2.1.555秒脉冲模块设计 产生秒信号的电路有多种形式,如图2 是利用555 定时器组成的秒信号发生器。当接通电源以后,因为电容上的初始电压为零,无哦一输出为高电平,并开始经电阻R向电容C充电。当充到输入电压为V1=Vt+时,输入跳变为低电平,电容C又经过电阻R开始放电。当放电至V1=Vt-时,输出电位又跳变成高电平,电容C重新开始充电如此周而复始,电路便不停地振荡。V1和Vo的电压波形如图3所示。因为该电路输出脉冲的周期为T≈0.7(R1+2R2)C。若T=1S,令C=10,R1=39K,则。取固定电阻与的电位器相串联代替电阻R2。在调试电路时,调试电位器R P,使输出脉冲为1s。

实训-交通信号灯控制系统

交通信号灯控制系统 重点内容: ●LED显示的驱动电路设计和LED显示输出扫描程序 ●按键输入电路和按键扫描程序 ●时间中断的使用。 一、实例说明 有如图所示的街区十字路口,需要为十字路口设计一个交通灯控制系统,该系统的要求如下:东南西北每个方向各有一个红绿灯组,每个红绿灯组包含红黄绿三种颜色的信号灯。 ●每天的23:00~次日凌晨的6:00,由于车流量较小,为了节省电能各个方向的红绿 灯出于休息状态(只亮黄灯)。 ●每天的6:00~23:00,红绿灯出于工作状态,两个互相垂直方向的绿灯交替点亮来 控制交通。交通灯工作状态过程如后所示,在某一个时间段东南方向红灯亮,西北方向绿灯亮;经过一定的时间后,西北方向该为黄灯闪烁,此时东南方向保持红灯; 西北方向红灯闪烁5秒后转为红灯,此时东南方向变为绿灯。依此东南方向的红绿灯和西北方向的红绿灯循环往复。

交通信号灯控制系统提供了一个控制面板,交警可以通过该面板可以调整红灯和绿灯亮的时间间隔、控制交通信号灯控制系统的开关等,从而达到控制交通流量的目的。交通信号灯控制系统的控制面板如下图所示,该面板共有6个LED,每3个LED 为一组,用于显示交通信号灯的点亮时长(单位为秒);面板上共有5个按键,用于控制交通灯控制系统的点亮时长和系统的开关。

二、硬件电路设计 1、LED输出电路 ●本案例中共有6个LED,为了充分利用ARM微处理器的IO资源,我们采用扫描的 方式。 ●LED的输出电路如上图所示,为了使电路比较清晰,这里只画出了其中两个LED。 每个七段码LED的a~g端口是并联,分别连接LPC2131的P1.19、P1.20、P1.21、P1.22、P1.23、P1.24和P1.25。而gnd端口各由一个管脚控制,6个七段码LED的gnd端分别连接LPC2131的P0.0、P0.1、P0.2、P0.3、P0.4和P0.5。当需要点亮其中某一个七段码LED时,将对应的gnd端口电平拉低,a~g端口根据需要显示的数字给不同的电平。这种连接方式在某一特定时刻只能点亮其中一个七段码LED,而其他的七段码LED处于熄灭状态。 ●为了让他们看上去是同时点亮并且能够显示不同的数值,我们利用人眼的视觉暂留 对他们进行扫描,在一个比较短的始终周期内(0.01s左右)轮流点亮6个七段码LED。 2、按键电路 ●本案例中的共有5个按键,如下图的控制面板所示,其中一个按键用来控制交通灯 控制系统的开关,而其他四个按键用来调整红灯和绿灯的持续时间 ●按键电路如下图所示,当没有任何按键被按下时,所有与按键连接的管脚为高电平;

交通信号灯程序

《PLC组态与维护》课程测试答题册 系别:动力工程系 专业:工业热工控制技术 班级: 姓名: 学号: 任课教师: 完成时间:2014年5月26日 目录

一、测试题目 (3) 二、I/O分配表 (4) 三、硬件接线图 (4) 四、控制时序图 (5) 五、顺序功能图 (5) 六、控制梯形图 (6) 七、答题心得 (15) 八、参考文献 (15)

一、测试题目 北向 南向 东向 西向 红 红 红 红 黄 黄 黄 黄 绿 绿 绿 绿 上图所示为双干道交通信号灯设置示意图。信号灯的动作受开关总体控制,按一下起动按钮,信号灯系统开始工作,并周而复始地循环动作;按一下停止按钮,所有信号灯都熄灭。 信号灯控制的具体要求见下表。 试编写信号灯控制程序,具体要求如下: 1.理清输入输出信号的关系和状态。 2.列出I/O 分配表。 3.绘制PLC 硬件接线图、控制时序图和顺序功能图。 4.设计控制梯形图程序

二、I/O 分配表 东西方向红灯 Q 4.3 BOOL 东西方向黄灯 Q 4.5 BOOL 东西方向绿灯 Q 4.4 BOOL 南北方向红灯 Q 4.0 BOOL 南北方向黄灯 Q 4.2 BOOL 南北方向绿灯 Q 4.1 BOOL 启动按钮 I 0.1 BOOL 停止按钮 I 0.2 BOOL 三、硬件接线图 DC24V +-HL1 HL2 HL3 HL4 HL5SB1 SB2 HL6 DC24V - + M 1M I0.0 I0.1 1L Q4.0 Q4.1 Q4.2 Q4.3 Q4.4Q4.5

四、控制时序图 红灯绿灯黄灯红灯绿灯 黄灯东西 南 北时间 45s T0 3S T12S T2 25S T3 3S T42S T5 五、顺序功能图 M0.0 M0.1M0.2 M0.3 M0.4 M0.5 M0.6 T0Q4.3Q4.1T0 M5.1T1 T1 Q4.2T2 T2 Q4.0Q4.4T3 T3 M5.2T4 T4 Q4.4T5 T5

交通信号灯控制详细操作说明

交通信号灯控制详细操作说明 一、操作面板示意图: 二、修改程序的基本步骤: 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 按“加”或“减” 按“功能1” 步骤1、按住“显示程序”键,听毕 “啼”音后进入程序修改操作; 步骤2、显示[-0 0·7 00] 步骤3、显示[- 0 02·02 设定第一段程序开始运行的时间,按数字下 面相对应的“减”或“加”来调整时分。 显示内容说明:当前显示的是“-0 0.7 00” “-0”的含义指的是当前设定的是第一段程 序。“07 00”的含义是指时间,在以下三个 步骤中设定的程序将在凌晨7点钟开始运 行。用“·”的位置指示当操作步骤的进度, 在以下几个步骤中“·”点的位置往后移。 设定干线与支线左转弯绿灯时间,按加减来 调整干线或支线左转弯绿灯时间,注意:调 整为02.02则控制器工作于两相位模式。 步骤4、显示[- 0 2 5 2·5] 设定参数,一般不需修改,如需修改按数字 下面相对应的按键。第一位”2”代表黄灯过渡 到红灯时红灯持续时间为2秒,第二位”2” 代表绿灯过渡到黄灯时黄灯持续时间为2 秒,第三位”5”代表绿闪次数5次,第四位数 是右转弯绿灯的运行模式。 步骤5、显示[- 0 2 2 5 8·] 设定干线与支线直线绿灯时间,左边的两位 数是干线的,右边的两位数是支线的,按数 字相对应的“减”或“加”来调整绿灯时间。

三、修改多时段程序的步骤: 在基本步骤6中按下“功能1”,根据你的需要重复“修改程序的基本步骤”2-5;设定时钟的应从早上到晚上,共有十个时段可以设定。 四、修改程序中的特定数字: 1、设定左转时间[ 0 2·0 2 ]是转入二相位的特定数字 2、设定直行时间[ 0 3·0 3 ]是转入黄闪的特定数字; 3、设定时钟时间[ 2·3 5 9 ]是退出修改的特定数字; 五、手动: 在正常工作状态下按“功能2”键即进入手动工作状态,按相应键即对干线左转、支线左转、干线直行、支线直行的手动控制,再按“功能2”键返回正常工作状态。 六、恢复出厂设置及24小时连续工作设置: 如遇到不明原因的控制器故障请恢复出厂设置复位,按住“功能2”键再开电源,听毕“啼”音后即恢复出厂设置。 自动1初始化出厂设置如下:(四相位设置:直线先行)

道路交通信号灯控制系统设计说明书

安徽科技学院数理与信息工程学院 《单片机原理与应用设计》课程设计 设计说明书 题目: 道路交通信号灯控制系统 专业: 电气工程及其自动化 班级: 12级1班 指导教师: 2014 年12 月 9 日

目录 一、概述 (3) 1、设计背景 (3) 2、设计要求 (3) 二、整体设计原理 (3) 1、设计原理 (3) 2、硬件电路分析 (4) 三、硬件电路 (5) 1、晶振电路 (5) 2、硬件电路 (5) 四、软件设计 (6) 1、主程序设计 (6) 2、程序代码分析 (7) 3、元件清单 (9) 五、测试 (10) 1、仿真调试 (10) 六、心得体会 (13) 七、附录 (14) 1、参考文献 (14) 2、完整程序代码 (14)

一、概述 1、设计背景 根据规定本学期13、14周为本专业课程设计,要求同班同学五人一组利用单片机相关知识和proteus仿真软件实现所选课题相关功能。 由于我们组在大二数、模电课程设计中做过交通灯相关课题,因此本次课程设计在组织好团队后,经讨论我们一致决定选择道路交通信号灯控制系统作为本组课程设计内容。 2、设计要求 (1)设计目的 随着单片机应用的日益广泛,在校学生加强对单片机动手实践能力的培养,已经是非常重要的一项锻炼。课程设计就是为加强实践机会、培养学生动手能力的一个重要环节,将理论知识与实际联系起来的一个关键机会。 (2)设计任务 ①设计四组十字路口的红、绿、兰三色交通灯,并模拟交通灯的现场情形,控制交通灯的亮灭。 ②设计四组 LED 显示器,分别倒计时显示十字路口每个方向的红灯或绿灯的剩余时间。 ③可适当根据实际需要增加扩展功能。 ④利用 PROTEUS 软件画出电路图,根据以上功能编写软件,并在硬件电路上成功运行或仿真。 二、整体设计原理 1、设计原理 实际交通灯的变化规律实际交通灯分为东南西北四个方向以及左转右转,本次课程设计我们涉及的是简易交通灯,不包含左转右转,只包括东西直行和南北直行,原理较为简单,下图是十字楼口的模拟图。

西门子PLC交通信号灯控制系统设计(详细步骤)

毕业设计说明书 (2010 届) 课程名称:可编程控制器应用 题目:交通信号灯PLC控制系统设计专 业班级: 学生姓名: 学号:指导教师: 2010 年 1月 8 日

一、设计题目 交通信号灯PLC控制系统设计 二、设计目的 课程设计的主要目的是通过某一生产设备的电气控制装置的设计实践,了解一般电气控制系统设计过程、设计要求、应完成的工作内容和具体设计方法。通过设计也有助于复习、巩固以往所学的知识,达到灵活应用的目的。电气设计必须满足生产设备和生产工艺的要求,因此,设计之前必须了解设备的用途、结构、操作要求和工艺过程,在此过程中培养从事设计工作的整体观念。课程设计应强调能力培养为主,在独立完成设计任务的同时,还要注意其他几方面能力的培养与提高,如独立工作能力与创造力;综合运用专业及基础知识的能力,解决实际工程技术问题的能力;查阅图书资料、产品手册和各种工具书的能力;工程绘图的能力;书写技术报告和编制技术资料的能力。 三.设计要求 1技术要求: 某十字路口东西方向和南北方向各装有直行(包括右转弯)控制红、黄、绿交通信号灯和左转弯控制红、绿交通信号灯,另外还有到计时显示器。显示器用于显示相应方向直行控制当前点亮信号灯还要持续的时间(剩余时间),由另外的单片机系统构成。 PLC通过串口以自由口方式输出八位二进制数据,最高位为0表示东西方向数据。1表示南北方向数据,单位为秒。系统中有两个控制开关,东西控制开关SEW和南北控制开关SSN。SEW接通SSN关断则东西方向绿灯全亮南北红灯全亮,其他全灭。接通南北方向绿灯全亮,东西方向红灯全亮,其他全灭。SEW 和SSN都关断停止工作SEW和SSN都接通则进入正常工作状态,按照以下规律控制:(参考中华路与人民路交叉路口的信号灯) 2设计规律:: (1)系统启动后,南北红灯全亮35秒;与此同时东西直行绿灯亮20秒,东西左转弯红灯亮;(2) 东西灯亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒。(3)东西直行绿灯闪亮3 秒后变成黄灯亮,维持2秒;(4)东西直行黄灯亮2秒后变成红灯亮;同时东西左转弯绿灯亮,维持10秒;(5)东西左转弯绿灯亮10秒后变成红灯亮;(至此东西方向全是红灯亮,维持40秒);同时南北方向直行控制红灯灭,绿灯亮。维持20秒;南北左转弯继续红灯亮.;(6)南北直行绿亮20秒后开始闪烁,周期为1秒(灭0.5秒,亮0.5秒),闪亮3秒;(7)南北直行绿灯闪亮3秒后变成黄灯亮,

交通信号灯控制系统

交通信号灯控制系统(红绿灯系统) 1、概述 近年来,随着经济发展,营运车辆拥有量的增加使道路市场必须规有序,交通安全管理必须上一新台阶。按照“高起点规划,高标准建设,高效能管理”的思路,坚持把城市化作为城市经济的一大战略来抓,积极建设城区交通基础设施工程,建立交通安全管理网络。严格抓好交通管理,以加强交通队伍建设和行业文明建设。 对****信号控制系统进行升级改造,在*****新建设一套信号控制系统 2、设计依据 ?《道路交通信号控制机》(GB25280-2010) ?《道路交通信号灯》(GB14887-2011) ?《道路交通信号灯设置与安装规》(GB14886-2006) ?《道路交通信号倒计时显示器》(GA/T508-2004) ?《道路交通安全行为图像取证技术规》(GA/T832-2009) ?《交通信号机技术要求与测试方法》(GA/T47-93) ?《道路交通信号机标准》(GA47-2002) ?《道路交通信号灯安装规》(GB14866-94) 3、设计原则 本期工程按“国领先、国际先进”的原则设计方案,提供完整、最新而成熟的产品,并保证各项技术和设备的先进性、实用性和扩展性。提高交通道路口的车辆通行速度,保证道路畅通。因此该系统是建设畅通工程中的重要措施之一。 信号控制系统的设置应充分结合本路段的工程自身特点,在达到适时、适量地提供交通信息,确保行车安全目的的同时,尽可能与道路的整体效果相结合。 1)设计思路 以有效地管理道路交通,达到安全、经济、合理、美观为目的,严格按照国家有关规定设置信号灯等交通设施。

交通拥挤情况主要发生在车流人流相对集中的主要繁华城区路口和路段,根据现有主要交通干道路面宽度划分车道,基本可以满足城区车辆通行的需要。 2)预期实现目标 完善城区交通安全设施布局,规行车和行人秩序,减少交通事故,一定程度上改善城市形象。 4、交通信号控制系统功能 (1)图形与界面 系统界面中文化、图形化、菜单化。命令操作方式灵活多样,并对错误操作发出警告或禁止执行。 能多用户、多窗口显示,显示窗口可缩放、移动。 具有图形编辑工具,可以对图形的区域背景、路口背景等进行用户化编辑。 背景地图可按管理区域和路口进行缩放和漫游显示。 能够实时显示路口设备、路口设备工作状态及信号控制模式等信息。 系统可动态、实时地显示路口信号灯的运行状况,并可对某一路口的信号灯变化进行实时显示;还可以根据需要直接对信号机进行手动操作功能。 能够用图表显示交通流量、占有率等统计分析数据。 (2)用户管理 系统能够支持至少50个用户的使用和管理,对用户的名称、密码和访问角色等相关容进行设置。 能够设立访问角色,能够定义相应的访问权限,每个用户可以对应多个角色。 组管理:每个组可以有多个用户,所有用户不能重名,不同的组可以管理不同的路口设备。 记录用户登录和退出系统的时间及用户使用过的操作命令,显示用户是否在线。 禁止多用户对同一对象同时进行控制操作,并给出提示信息。 (3)日志管理 操作员记录:操作员登录/退出时间、部分重要操作命令记录。 记录保存时间:系统至少保留最近12个月的综合日志记录。

交通信号灯自动控制系统说明书

交通信号灯自动控制系统说明书 课程设计2008-12-28 16:34:46 阅读1674 评论4 字号:大中小订阅 1 概述 1.1 设计目的 (1)掌握CPU与各芯片管脚连接方法,提高接口扩展硬件电路的连接能力; (2)通过对交通灯信号自动系统的模拟控制,进一部提高应用8255A并行接口技术,8253定时功能,8259A中断管理控制器的综合应用能力; (3)掌握基本汇编源程序编制方法,学会综合考虑各种设计方案的对比和论证。 1.2 设计要求 交通信号灯自动控制系统须满足下列要求和功能: (1)首先车行道亮绿灯45s,同时人行道亮红45s; (2)45s后,车行道黄灯闪烁3次,亮、灭各1s,此时人行道仍维持红灯; (3)6s后,转为人行道亮绿灯20s,车行道亮红灯20s; (4)20s后,再转到第(1)步,如此循环往复; (5)当有车闯红灯时,能实现报警信号持续3 s的扩展功能。 1.3 设计方法及步骤 1、设计系统硬件部分 (1)先进行方案论证,确定最终采取硬件定时还是软件定时,是查询方式还是中断方式; (2)在具体甄选设计过程中可能要设计的芯片,分析它们的功能特点,确定它们的工作模式; (3)按照各芯片的使用特点以及本系统的设计要求逐步连接,画出系统硬件连接图。 2、设计系统的软件部分 (1)先进行程序编制方式的方案论证,讨论分析,确定是采用宏程序调用还是子程序调用模式; (2)确定本系统设计可能涉及的源程序各个模块,明确各个模块的各自功能,分清它们相互之间的调用关系; (3)画出各个模块的程序流程图; (4)依据流程图,编制出交通信号灯自动控制系统的完整汇编源程序。 1.4 设计说明 (1)本设计采用共阳极的发光二极管模拟对应的交通信号灯的型式,参见后面“系统硬件部分设计”中“总体设计”这一节; (2)本设计关于有车闯红灯报警的扩展功能,是通过红外线接收装置实现的,具体分析见后面“可编程芯片说明及其地址范围确定”中“8254定时/计数器”这一节; (3)在本设计的最初方案中,本来是有电子眼拍摄闯红灯车牌号的这一很实用、很现实化的扩展功能的,但由于实现这种功能的电路芯片资料难以搜集,芯片电路连接复杂以及芯片工作模式,工作环境,工作特点的难以确定,最终被舍弃,只留下报警功能; (4)本设计在很多方面,比如译码器的选择,定时器选型,程序调用方式等等尽量做到不与本组其他成员雷同,程序编制力求简便清晰,硬件连接图在保证每根具体用到的管脚线都能被表示出来的同时,力求线路连接清晰明确,尽量不使线与线之间过于缠绕。 2 方案论证 2.1 软件定时与硬件定时 本任务要求交通信号灯能实现自行定时、延时、切换等功能,即能实现交通信号灯自动控制。一般计算机控制系统实现定时或延时有两种基本方法:利用软件定时 或使用可编程硬件芯片,即硬件定时。

对交通信号灯的控制

毕业论文题目:对交通信号灯的控制 毕业论文要求:十字路口的交通指挥信号灯如图所示:控制要求如下: (1)信号灯受一个起动开关控制,当起动开关接通时,信号系统开始工作,且先南北红灯亮,东西绿灯亮。当起动开关断开时,所有信号灯都熄灭。 (2)南北绿灯和东西绿灯不能同时亮,如果同时亮时应关闭信号灯系统,并报警。(3)南北红灯亮维持25S。在南北红灯亮的同时东西绿灯也亮,并维持20S。到20S 时,东西绿灯闪烁,闪烁3S后熄灭。在东西绿灯熄灭时,东西黄灯亮,并维持2S。到2S时,东西黄灯熄,东西红灯亮。同时,南北红灯熄灭,南北绿灯亮。 (4)东西红灯亮维持30S。南北绿灯亮维持25S。然后闪烁3S,熄灭。同时南北黄灯亮,维持2S后熄灭,这时南北红灯亮,东西绿灯亮。 (5)周而复始。 毕业论文主要内容:随着社会经济和城市交通的快速发展,城市规模的不断扩大,交通 日益繁忙,红绿灯已经成为疏导交通最常见和最有效的手段。 红绿灯采用红、黄、绿三种颜色组成。绿灯是通行信号,面对绿灯车辆可以直行,左右转弯;红灯是禁止通行信号,面对红灯车辆必须停止前进;黄灯是等待信号,面对黄灯车辆不能越过停车线,等待信号指示。 城市红绿灯一般采用可编程控制器,其中采用PLC程序控制的在实际使用中占有很大的比例。信号一般采用三种控制形式。第一种为传统红绿灯,即在红绿灯之间转换,绿灯变红灯时加黄灯来缓冲;第二种是在传统红绿灯基础上加上绿灯闪烁(以下简称绿闪)功能,即在绿灯将要结束之际加上闪烁,其目的是提醒车辆,并保留黄灯缓冲时间;第三种是数字显示红绿灯,这是目前大城市所用最多的红绿灯,这种是在第二种红绿灯基础上加左右转弯和倒计时显示。 另外人行道的红绿灯对行人和车辆起到秩序化的放行和安全交通的交通设备。人行道上的红绿灯也与马路上的红绿灯大同小异,设计方法也基本相同。 第一章设计方案 1.1 设计基础 此次PLC编程方法均与以S7-200作为背景机。 1.2 方案选择 这次给的方案有三种,一种是传统红绿灯,即绿灯切换到红灯之前用黄灯缓冲,而红灯到绿灯没有黄灯缓冲,这种红绿灯没有人行道上的红绿灯;第二种是普通红绿灯,就是在传统红绿灯基础上加上人行道红绿灯,人行道上只有红、绿两种灯;第三种是大型红绿灯,这种红绿灯是在普通红绿灯基础上加左右转弯和倒计时显示。下面就来介绍这三种红绿灯:方案一传统红绿灯 十字路口每个方向各有一组红绿灯,共四组。这种红绿灯控制简单方便。但是缺点是只适合小型城市或者没有行人过马路和马路两边架设天桥的十字路口。现今已经无法满足较大城市

交通信号灯控制系统

摘要 随着中国城镇化速度的较快,交通事故也日趋发生,所以合理的交通控制方法能有效的缓解交通拥挤、减少尾气排放及能源消耗、缩短出行延时,改善我国独有的交通问题。而平面交叉口是城市交通的关键,它是整个城市道路的瓶颈地带,对其进行交通信号控制方法的研究具有重大意义,所以交通信号灯是维护城市交通的主要设施。 我们本次复杂的十字路口交通灯控制系统设计主要是利用AT89C51制作并仿真.并且在单片机的选择上,考虑到电路的简单和成本的削减,我们选择性价比最好的AT89C51,而且能够使程序简单。可以添加恰当的传感器,实时监控道路情况,对各种情况的处理实行紧急情况优先级最高,其次行人优先通过,最后车流量高的方向,给予更多的通过时间,采用中断的方法,由中断根据各种不同的情况选择合适的处理程序处理。通过单片机控制交通灯不仅能提高我们理论联系实际的能力,而且能够熟练掌握C语言的编程方法,掌握定时/计数器、外部中断的使用方法和简单程序的编写,最终提高逻辑抽象能力和动手能力。 关键字:AT89C51 中断交通信号控制

目录 1 社会需求......................................... 错误!未定义书签。 2 设计目的......................................... 错误!未定义书签。 3 设计思路及框图 (1) 3.1 交通灯设计 (1) 3.2 交通灯定时控制 (2) 3.3 传感器智能控制 (4) 4 硬件电路设计 (4) 4.1 单片机电源电路 (4) 4.2 单片机复位电路 (4) 4.3 交通LED灯外围驱动电路 (5) 4.4 按键控制电路 (6) 4.5 单片机主电路 (7) 4.6 整体电路图设计 (8) 5 软件设计 (9) 5.1 系统程序流程图设计 (9) 5.2 系统程序设计 (10) 5.3仿真显示结果 (17) 总结 (17) 参考文献 (18)

交通信号灯_数字逻辑程序设计

石家庄经济学院 信息工程学院 数字逻辑课程设计报告 题目十字路口交通灯控制器姓名赵永超 学号409109070609 班号4091090706 指导老师 成绩 2010年6月 目录

1. 功能描述 (52) 2. 开发工具选择 (52) 3. 设计方案 (52) 4.模块描述 (52) 5. VHDL实现 (52) 6. 调试仿真 (52) 7. 课程设计回顾总结 (52) 参考文献 (52) 附录 (52)

1.功能描述 ar,ay,ag 和br,by,bg分别表示A和B道的红灯,黄灯,绿灯的驱动信号。信号灯在高电平时亮,低电平时熄灭。十字路口的交通灯控制电路功能: 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 2.开发工具选择 选择VHDL语言描述,利用Quartus II 5.1工具。 3. 设计方案 在设计方案之前,我们应明确课程设计的任务和要求:该数字系统完成对十字路口交通信号灯的控制,十字路口由一条南北方向的支干道(简称A道)和东西方向的主干道(简称B道)构成。A道安装有车辆检测传感器X,当该道有车时,传感器输出信号为高电平,当该道无车时,传感器输出低电平信号。 十字路口交通灯控制规则为:当A道无车时,传感器输出X=0,A 道红灯一直亮,B道绿灯一直亮,直到15s定时时间到且A道有车为止;当B道通行15s且A道有车时,B道绿灯亮变成黄灯,经过5s后变成红灯亮,A道由红灯亮变成绿灯亮,直到10s定时时间到或者X=0为止;最后A道黄灯亮,B道红灯亮,直到5s定时时间到,再回到B道绿灯亮,A道红灯亮的初始状态。 (1)、首先对问题进行仔细分析 设东西和南北方向的车流量大致相同,从题目中计数值与交通灯的亮灭的关系如下图所示:

交通信号灯PLC自动控制

交通信号灯PLC自动控 一、实验目的 1.掌握十字路口交通信号灯的控制原理。 2.掌握PLC定时器﹑计数器的使用方法。 二、实验器材 1.PLC可编程序控制器实验台1台 2.PLC-DEMO001交通信号灯PLC自动控制演示板1块 3.PC机或编程器1台 4.编程电缆1根 5.自锁式连接导线若干 三、实验要求 1.本装置与交通信号灯控制一致,采用LE模拟信号灯,信号灯分东西﹑南北二组分别有“红”“黄”“绿”三种颜色。其工作状态由PLC程序控制,“启动”、“停止”按钮分别控制信号灯的启动和停止。“白天/黑夜”开关控制信号灯白天黑夜转换。 2.对“红”“黄”“绿”灯控制要求如下: 3.假设东西方向交通比南北方向繁忙一倍,因此东西方向的绿灯通行的时间多一倍。 4.控制时序要求如错误!未找到引用源。所示。 5.按下“启动”按钮开始工作,按下“停止”按钮停止工作,“白天/黑夜”开关按下闭合时为黑夜工作状态,这时只有黄灯闪烁,断开时按时序控制图工作。 6.根据具体情况还可增加控制要求,如紧急控制,某一方向绿灯常亮。 PLC简介 随着社会经济的发展,城市交通问题越来越引起人们的关注。人,车,路三者关系的协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测,交通信号灯控制与交通疏导的计算机综合管理系统,它是现代城市交通监控指挥中最重要的组成部分。 1.随着城市机动车量的不断增加,许多大城市如北京,上海,南京等

出现了交通超负荷运行的情况,因此,自80年代后期,这些城市纷纷修建城市高速公路,在高速公路建设完成的初期,它们也曾有效地改善了交通状况。然而,随着交通量的快速增长和缺乏对高速道路的系统研究和控制,高速道路没有充分发挥出预期的作用。而城市高速道路在构造上的特点,也决定了城市高速道路的交通状况必然受高速道路和普通道路耦合出交通状况的制约。所以,如何采用合适的控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道与匝道,城区与周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题, 2.根据交通等工艺控制要求和特点,我们采用了日本三菱公司FX2N_48MR。三菱PLC有小型化,高速度,高性能等特点,三菱可编程控制器指令丰富,可以接各种输入,输出扩充设备,有丰富的特殊扩展设备,其中的模拟输入设备和通信设备是系统所必需的,能够方便地联网通信。本系统就是应用可编程控制器(PLC)对十字路口交通控制等实现控制。本系统采用PLC是基于以下四个原因: 3.PLC具有很高的可靠性,抗干扰能力。通常的平均无障碍时间都在30万小时以上; 4.系统设计周期短,维护方便,改造容易,功能完善,实用性强; 5.干扰能力强,具有硬件故障的自我检查功能,目前空中各种电磁干扰日益严重,为了保证交通控制的可靠稳定,我们选择了能够在恶劣的电磁干扰环境下正常工作的PLC; 6.近年来PLC的性能价格比有较大幅度的提高,是的实际应用成为可能。2 PLC及PLC简介 7.可编程控制器简称——PLC是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CAD/CAM将成为工业生产的三大支柱。 8.可编程控制器(PLC)是用来取代控制系统中的继电器的一种设备,它通过检测输入端口,并根据输入端口的状态,按照程序控制输出口,可编程控制器的程序一般要使用一定的软件编写,使用人员通过输入预先编写的程序,使可编程控制器按预定的控制方案执行控制任务。目前大多数城市采用的交通信号灯指挥控制系统,采用电子线路加继电器构成,也有少数采用单片机构成。对信号灯的要求也越来越高,采用电子线路加继电器的控制方

相关主题
文本预览
相关文档 最新文档