当前位置:文档之家› 计算机组成原理实验报告(4个)

计算机组成原理实验报告(4个)

上海建桥学院

本科实验报告

课程名称:计算机组成原理

学号:

姓名:

专业:

班级:

指导教师:

课内实验目录及成绩

序号实验名称页码成绩

1 八位算术逻辑运算 1

2 静态随机存取存储器实验6

3 数据通路11

4 微程序控制器的实现16

总成绩

信息技术学院

2014年03 月20 日

上海建桥学院实验报告

课程名称:计算机组成原理实验类型:验证型

实验项目名称:八位算术逻辑运算

实验地点:实验日期:年月日

一、实验目的和要求

1、掌握运算器的基本组成结构;

2、掌握运算器的工作原理。

二、实验原理和内容

实验采用的运算器数据通路如图1-1所示,ALU逻辑功能表如表1-1所示。

图1-1运算器原理图

ALU部件由一片 CPLD实现,内部含有三个独立的运算部件,分别为算术、逻辑和移位运算部件。输入数据IN[7..0](由插座JP22引出)通过拨动开关sK7..sK0产生(开关由插座JP97引出)。数据存于暂存器A或暂存器 B中(暂存器A和B的数据可在 LED灯上实时显示),三个部件可同时接受来自暂存器 A和 B的数据。各部件对操作数进行何种运算由控制信号S3…S0和CN_I来决定(S3…S0由插座JP18引出;CN_I由插座JP19引出),可通过拨动开关sK23..sK20和sK12设置(开关由插座JP89、JP19引出)。运算结果由三选一多路开关选择,任何时候,多路开关只选择三个部件中的一个部件的运算结果作为ALU的输出。ALU的输出ALU_D7..ALU_D0通过三态门74LS245送至CPU内部数据总线(iDBus)上(由插座JP25引出),并通过扩展区单元的的二位数码管和DS94..DS101LED灯显示(LED灯由插座JP62引出)。如果运算影响进位标志FC、零标志FZ、正负标志FS,则在T3状态的下降沿,相应状态分别锁存到FC、FZ、FS触发器中,实验仪设有LED灯显示各标志位状态。

操作控制信号wA(允许写暂存器A)、wB(允许写暂存器B)、rALU(允许ALU结果输出到内部数据总线(iDBus)上)由JP19引出,都为低电平有效,实验时可通过连接开关sK15..sK13设置(开关由插座JP92引出)。

实验所需的时序信号T1、T2、T3,以及复位信号nRST均已与控制台(CON)相连。

表1-1 ALU逻辑功能表

运算类型S3 S2 S1 S0 CN_I 功能

逻辑运算0000

0 F = A (直通)

1 F = B (直通)

0001 X F = A + B (或)(FZ)0010 X F = A * B (与)(FZ)0011 X F=A⊕B (异或)(FZ)0100 X F=/A (取反)(FZ)

移位运算0101

F=A 不带进位循环右移

移位位数由暂存器B的低3位决定

(FZ)

1 F=A 算术右移一位(FZ)0110

0 F=A 逻辑右移一位(FZ)

1 F=A 带进位循环右移一位(FC,FZ)0111

0 F=A 逻辑左移一位(FZ)

1 F=A 带进位循环左移一位(FC,FZ)

算术运算1000

0 F=A+B (FC,FZ,FS)

1 F=A+B+FC (FC,FZ,FS)1001

0 F=A-B (FC,FZ,FS)

1 F=A-B-FC (FC,FZ,FS)1010

0 F=A+1 (FZ)

1 F= NEG A (取补) (FZ)1011 X F=A-1 (FZ)

其它1100 X 置FC=CN_I (FC)1101 X 置EI=CN_I (EI) 1110 (保留)

1111 (保留)

* 表中:功能栏内的FC、FZ、FS表示当前运算会影响到该标志(状态信息显示发生在T3下降沿);

EI为允许中断标志;

‘X’表示任意态,下同。

实验要求完成:

1、在暂存器A中设置操作数A=65H; 在暂存器B中设置操作数B=A7H。

2、通过对ALU操作控制码S

3、S2、S1、S0、CN的设置,完成两操作数的算术、逻辑和

移位运算,并将运算结果填入表1-2中。最后将运算结果同实验台ALU逻辑功能表(表1-1)对比分析,判断运算结果是否正确。

3、改变 A、B的值,验证 FC、FZ和FS的锁存功能。将结果填入表1-3中。

三、主要仪器设备

STAR COP2018实验仪一套

四、实验步骤

1、实验接线

(1)关闭实验台电源。

(2)完成如下实验电路连线,并检查无误。

ALU单元:S3..S0(JP18) ——开关区单元:K23..K20(JP89)

ALU单元:wA、wB、rALU、CN_I(JP19) ——开关区单元:K15..K12(JP92)

ALU单元:IN7..IN0(JP22) ——开关区单元:K7..K0(JP97)

ALU单元:ALU_D7..ALU_D0(JP25) ——扩展区单元:7..0 (JP62)

2、实验操作

(1)打开实验仪电源,完成初始化

①按CON单元的nRST键,将ALU的暂存器A和B,以及FC、FZ、FS清零。

②按CON单元的EXEC键,置实验仪为运行状态,此时按键上方的DS111 LED指示灯

亮。

③按ALU单元的Select键,直至DS77 LED指示灯亮,此时 R_7..R_0 LED 指示灯显

示的是暂存器B的内容。

(2)拨动开关,向暂存器A输入数据

①拨动开关区单元的sK7..sK0开关,形成二进制数01100101,此时开关相应的

DS129..DS136 LED指示灯亮,表示该位为‘1’,灭为‘0’。

②置开关区单元的开关sK15(wA)=0、sK14(wB)=1、sK13(rALU)=1、sK12(CN_I)=0。

③按CON单元的uSTEP+1键,在T1下降沿,将二进制数01100101写入暂存器A中,

暂存器A的值可通过ALU单元的8位 LED灯A_7…A_0显示。

④ A暂存器写入关闭:置开关区单元的开关sK15(wA)=1。

注: uSTEP+1键为节拍按键,每按一次完成一个节拍,由CON单元的LED指示灯DS169、DS168显示所处节拍。

DS169 DS168节拍

0 1 T1

1 0 T2

1 1 T3

(3)拨动开关,向暂存器B输入数据

①拨动开关区单元的sK7..sK0开关,形成二进制数10100111,此时开关相应的

DS129..DS136 LED指示灯亮,表示该位为‘1’,灭为‘0’。

②置开关区单元的开关sK14(wB)=0。

③按CON单元的uSTEP+1键,在T2下降沿,将二进制数10100111写入暂存器B中,

暂存器B的值可通过ALU单元的8位 LED灯R_7…R_0显示。

④ B暂存器写入关闭:置开关区单元的开关sK14(wB)=1。

(4)拨动开关控制ALU的S3、S2、S1、S0和Cn功能设置,完成相应运算

①置开关区单元的开关sK13(rALU)=0。

②拨动开关区单元的开关sK23(S3)..sK20(S0)=1000,以及sK12(Cn)=0。

③按CON单元的uSTEP+1键,在T3时刻完成A+B算术运算,运算结果显示于扩展区

的左两位数码管和DS94..DS101 LED指示灯上。同时,ALU单元的LED指示灯FZ、

FC、FS显示相应状态信息(状态信息显示发生在T3下降沿)。

(5)改变ALU的S3、S2、S1、S0和Cn功能设置,观察并记录运算器的输出按表1-1设置sK23..sK20(S3..S0)和sK12(CN_I),观察扩展区的左两位数码管或LED 指示灯DS94..DS101的显示结果,以及ALU单元的LED状态指示灯FZ、FC、FS的显示结果,并将运算结果记录在表1-2中。

(6)按照表1-3重新设置A、B暂存器数据,完成加法运算,观察并记录运算器的输出结果。

①按表1-3输入操作数A[如前述步骤(2)];输入操作数B[如前述步骤(3)]。

②设置sK23..sK20(S3..S0)=1000和sK12(CN_I)=0。观察扩展区的左两位数码管或LED

指示灯DS94..DS101的显示结果,以及ALU单元的LED状态指示灯FZ、FC、FS的显示结果,并将运算结果记录在表1-3中。

五、实验数据记录

1、完成实验步骤(5),将运算结果记录在表1-2中。

表1-2

运算类型 A B S3 S2 S1 S0 CN_I 结果

逻辑运算

65H A7H 0000

0 F=( )

1 F=( )

0001 X F=( ) FZ=() 0010 X F=( ) FZ=() 0011 X F=( ) FZ=() 0100 X F=( ) FZ=()

移位运算

0101 0 F=( ) FZ=()

0101 1 F=( ) FZ=()

0110 0 F=( ) FZ=()

0110(FC=0)

1

F=( ) FZ=() FC=() 0110(FC=1) F=( ) FZ=() FC=() 0111 0 F=( ) FZ=()

0111(FC=0)

1

F=( ) FZ=() FC=() 0111(FC=1) F=( ) FZ=() FC=()

算术运算1000 0 F=( ) FZ=() FC=() FS=()

1000(FC=0)

1 F=( ) FZ=() FC=() FS=()

1000(FC=1) F=( ) FZ=() FC=() FS=() 1001 0 F=( ) FZ=() FC=() FS=()

1001(FC=0)

1 F=( ) FZ=() FC=() FS=()

1001(FC=1) F=( ) FZ=() FC=() FS=()

1010 0 F=( ) FZ=()

1 F=( ) FZ=()

1011 X F=( ) FZ=()

其它1100 X FC=( )

2、完成实验步骤(6),改变 A、B的值,验证 FC、FZ、FS的锁存功能,并将结果填入表

1-3中。

表1-3

运算类型 A B S3 S2 S1 S0 CN 结果

F=A+B C0H 35H

1000

0 F=( ) FZ=( ) FC=( ) FS=( ) FEH 02H 0 F=( ) FZ=( ) FC=( ) FS=( ) FBH 17H 0 F=( ) FZ=( ) FC=( ) FS=( )

六、实验结果分析

1、对比分析表1-1和表1-2,判断运算结果是否正确,并分析原因。

2、暂存器A置数完成后,如果操作控制信号 wA仍保持有效电平(wA=0),在对暂存器B

置数时会出现什么情况?

3、为什么在读取ALU的输出结果时,要使输出三态门(74LS 245)的控制端rALU 为有效

电平(rALU=0)?

4、为什么在初始化时要进行总清?即按动CON单元的nRST按键,将ALU的暂存器A和B,

以及FC、FZ、FS清零?

上海建桥学院实验报告

课程名称:计算机组成原理实验类型:验证型

实验项目名称:静态随机存取存储器实验

实验地点:实验日期:年月日

一、实验目的和要求

1、掌握RAM存储器的基本组成结构;

2、掌握RAM存储器的数据读写方法。

二、实验原理和内容

实验原理图如图2-1所示。实验所用的存储器由一片RAM 6116(2K×8bit)构成(位于实验台的 MEM单元)。

存储器的地址和写入的数据信号由实验台IN单元的数据开关(sD7..sD0)模拟设置,数据开关信息经输入三态门(245)分时给出地址和数据。

当输入信息为地址信号时,由控制信号wMAR在T3下降沿打入存储器地址寄存器(74HC574),74HC574的输出经地址总线三态门(245)送地址总线(ABus),地址总线上的信息可通过扩展区的右两位数码管显示。地址寄存器为8位,接入6116的地址线 A7..A0,6116的高三位地址 A10..A8接地,所以实验电路中存储器的实际容量为 256字节。

当输入信息为数据信号时,输入三态门(245)的输出至内部数据总线(iDBus),经数据总线三态门(245)送6116数据线(DBus)[使能信号nRD=1]。DBus上接有8个 LED灯(DS94..DS101)和两个数码管(扩展区的左边两个数码管),可显示写入的数据D7..D0。

当存储器进行读操作时,地址选中某个存储单元,存储器读命令nRD有效,读出的该存储单元的内容送外部数据总线和内部数据总线[使能信号nRD=0],并在扩展区的LED指示灯DS94..DS101和左边的两个数码管上显示。

CPU的读/写操作控制信号由存储器读写译码器电路产生。M_nI/O用来选择对MEM操作还是I/O操作。M_nI/O = 1,选择存储器操作;M_nI/O = 0,选择I/O操作。nRD = 0为读操作;nWR = 0为写操作。存储器的写脉冲宽度与T2一致;读脉冲宽度与T2+T3一致。

T2、T3由CON单元提供。按一次CON单元的uSTEP+1键,时序单元发出T1信号;再按一次uSTEP+1键,时序单元发出T2信号;再按一次uSTEP+1键,时序单元发出T3信号;再按一次uSTEP+1键,时序单元又发出T1信号,……。

按一次STEPADDR键,则依次发出T1、T2、T3信号。

实验时控制信号由开关区单元的拨动开关模拟设置,其中M_nI/O应为高(即对MEM读写操作),nRD、nWR、wMAR、nMAROE,以及IN单元的nCS、nRD控制信号都是低电平有效。

6116的读写控制信号nM_RD、nM_WR可通过扩展区单元的DS159、DS160 LED指示灯显示(当nRD=0时,T2、T3时刻DS159 LED指示灯熄灭,存储器进行读操作;当nWR=0时,T2时刻DS160 LED指示灯熄灭,存储器进行写操作)。

图2-1 存储器实验原理图

实验要求完成:

1、给5个存储单元分别写入5个不同的数据;

2、依次读出这些存储单元中的内容,观察读出的内容是否与先前写入的一致。

三、主要仪器设备

STAR COP2018实验仪一套

四、实验步骤

1、实验接线

(1)关闭实验台电源。

(2)完成如下实验电路连线,并检查无误。

CBus单元:M_nIO、nRD、nWR、nINTA(JP42) ——开关区单元:K15..K12(JP92) 存贮器MEM单元:A7..A0(JP72) ——ABus单元:A07..A00(JP56) 存贮器MEM单元:D7..D0(JP73) ——DBus单元:D7..D0(JP53)

存贮器MEM单元:M_nRD、M_nWR(JP71) ——CBus单元:nM_RD、nM_WR (JP44) MAR单元:D7..D0(JP14) ——iDBus单元:iD7..iD0(JP38) MAR单元:wMAR、nMAROE(JP13) ——开关区单元:K7、K6(JP96) IN单元:IN7..IN0(JP101) ——iDBus单元:iD7..iD0(JP37) IN单元:nCS、nRD(JP100) ——开关区单元:K1、K0(JP99)

扩展区单元:7..0 (JP65) —— ABus 单元:A07..A00(JP55) 扩展区单元:7..0 (JP63) —— DBus 单元:D7..D0(JP54) 扩展区单元:7..0 (JP67)

—— CBus 单元:nM_RD 、nM_WR (JP50)

2、实验操作 (1)初始化

① 打开实验仪电源,按CON 单元的nRST 键完成初始化复位, 实验仪为运行状态,

此时EXEC 键上方的DS111 LED 指示灯亮;

② 置开关区单元开关sK12(nINTA)=1(使中断响应信号不干扰读写存储器)。 (2) 写入数据到存储单元中:

例)给地址为00H 的存储单元写入数据11H 。由存储器实验原理图(图 2-1)可以看出,由于数据和地址由同一个数据开关给出,因此数据和地址要分时写入,具体操作见图2-2。

图2-2 写存贮器流程图

初始化:

·置sK15(M_nI/O) = 1,为存储器操作;

·置存储器的读写控制命令为无效:sK14(nRD)=1、sK13(nWR )=1;

·置存储器地址总线三态门(245)的使能信号为有效:sK6(nMAROE)=0,使地址寄存器内容始终送地址总线;

写入地址:sD7..sD0=0000 0000B

① IN 单元输出地址:

使能IN 单元输入三态门(245):sK1(nCS[IN 单元])=0、sK0(nRD[IN 单元])=0,使IN 单元数据开关设置的地址信号送地址寄存器74HC574输入端。 ② ⅰ)地址写入存储器地址寄存器(74HC574),并送地址总线(ABus ):

·使能存储器地址寄存器(74HC574)打入脉冲:sK7(wMAR )=0;

·发存储器地址寄存器(74HC574)打入脉冲:按uSTEP+1键(产生T3下降沿)。 此时,MAR 单元:LED 指示灯DS48..DS41显示地址。 ⅱ) 结束写入地址:sK7(wMAR )=1。

写入数据:sD7..sD0=0001 0001B

③ IN 单元输出数据:

ⅰ)保持使能IN 单元输入三态门(245):sK1(nCS[IN 单元])=0、sK0(nRD[IN 单元])

=0,使IN 单元数据开关设置的数据信号送数据总线三态门(245)输入端。

④ 数据写入存储器(6116):

·置存储器写命令有效:sK13(nWR )=0;

Sk15 Sk14 sk13 sk7 sk6 sk1 sk0

uSTEP+1键

·按uSTEP+1键(产生T2脉冲)。

扩展区的数码管左两位显示写入数据,右两位显示地址。 ⑤ 结束写操作:sK13(nWR )=1。

(3)按步骤(2)依次对其余4个存储单元分别写入不同的数据,并记录在表2-1中。 (4)读出地址为00H 存储单元中的内容,观察扩展区的LED 指示灯DS94..DS101或左边

的两个数码管,验证该存储单元读出的内容与先前写入的内容是否一致,具体操作见图2-3。

图2-3 读存储器流程图

初始化:

置存储器的读写控制命令为无效:sK14(nRD)=1、sK13(nWR )=1; 写入地址:sD7..sD0=0000 0000B

① IN 单元输出地址:

使能IN 单元输入三态门(245):sK1(nCS[IN 单元])=0、sK0(nRD[IN 单元])=0,使IN 单元数据开关设置的地址信号送地址寄存器74HC574输入端。 ② ⅰ)地址写入存储器地址寄存器(74HC574),并送地址总线(ABus ):

·使能存储器地址寄存器(74HC574)打入脉冲:sK7(wMAR )=0;

·发存储器地址寄存器(74HC574)打入脉冲:按uSTEP+1键(产生T3下降沿)。 此时,MAR 单元:LED 指示灯DS48..DS41显示地址。 ⅱ) 结束写入地址:sK7(wMAR )=1。

读出数据

③ 关闭IN 单元输出:

置输入三态门(245)的使能信号为无效:sK1(nCS[IN 单元])=1、sK0(nRD[IN 单元])=1。

④ 从该存储单元中读出数据:

·置存储器读命令有效:sK14(nRD )=0; ·按uSTEP+1键(产生T2、T3脉冲)。

观察扩展区的数码管(左两位显示数据,右两位显示地址),在表2-1中记录该存储单元读出的内容,并验证是否与先前写入的内容一致。 ⑤ 结束读操作:sK14(nRD )=1。

(5) 按步骤(4)依次读出其他4个存储单元中的内容,并记录在表2-1中。验证上述

存储单元中的内容与先前写入的内容是否一致。

(6) 将存储器读写操作时的各控制信号状态记录于表2-2中。

Sk15 Sk14 sk13 sk7 sk6 sk1 sk0

uSTEP+1键

五、实验数据记录

1、将实验结果记录在表2-1中。

表2-1

地址写入数据读出数据

2、将存储器读写操作时的各控制信号状态记录于表2-2中。

表2-2

控制信号控制信号含义有效电平写地址写数据读数据

M_nIO

nRD

nWR

wMAR

nMAROE

六、实验结果分析

1、实验电路中的存储器地址寄存器是哪个芯片?为什么在输入地址时,要置存储器的读

写控制命令为无效(nWR=1,nRD=1)?

2、在输入数据时,为什么将存储器地址寄存器(74HC574)的使能信号置为无效(wMAR=1)?

3、读存储器时,控制信号M_nIO =1,nWR=1,nRD=0的含义是什么?

上海建桥学院实验报告

课程名称:计算机组成原理实验类型:验证型

实验项目名称:数据通路

实验地点:实验日期:年月日

一、实验目的和要求

1、理解总线的概念及其特性;

2、掌握数据通路传输控制特性。

二、实验原理和内容

数据通路实验框图如图3-1所示,它将几种不同的设备如:存储器、输入设备、输出设备、寄存器等挂至总线上。根据总线工作原理,连至总线的输出设备都需要三态输出控制。实验各模块控制信号如下:

M_nIO:存储器/IO操作使能(M_nIO=1:存储器操作;M_nIO=0:IO操作,由开关区单元sK15开关模拟);

nRD: IN单元数据读入的控制信号,以及存储器读控制信号(低电平有效,由开关区单元sK14关模拟);

nWR: OUT单元数据输出的控制信号,以及存储器写控制信号(低电平有效,由开关区单元sK13开关模拟);

nMAROE:存储器地址寄存器MAR的三态输出使能信号(低电平有效,由开关区单元sK11开关模拟);

wMAR:存储器地址寄存器MAR的打入使能信号(低电平有效,由开关区单元sK10开关模拟);

rR0: R0寄存器读出控制信号(低电平有效,由开关区单元sK9开关模拟);

wRO: R0寄存器写入控制信号(低电平有效,由开关区单元sK8开关模拟)。

按照传输要求恰当有序地给出操作控制信号,便可以实现数据通路的正确传输。

图 3-1总线传输实验框图实验要求完成:

设计一个简单的数据传输流程:

(1)输入设备输入数据,将数据打入 R0寄存器。nMAROE

M_nIO

nRD

nWR M_nIO M_nIO

(2)输入设备输入地址,将地址打入地址寄存器。

(3)将 R0寄存器中的内容写入到当前地址的存储单元中。

(4)读出当前地址所选的存储单元中的数据,送R0寄存器。

(5)RO寄存器数据送LED数码管显示。

三、主要仪器设备

STAR COP2018实验仪一套。

四、实验步骤

1、实验接线

(1)关闭实验台电源。

(2)完成如下实验电路连线,并检查无误。

CBus单元:M_nIO、nRD、nWR、nINTA(JP42) ——开关区单元:K15..K12(JP92)

ALU单元:rR0、wR0(JP17) ——开关区单元:K9、K8(JP96)

ALU单元:IN7..IN0(JP22) ——iDBus单元:iD7..iD0(JP37)

MAR单元:nMAROE、wMAR(JP13) ——开关区单元:K11、K10(JP94)

MAR单元:D7..D0(JP14) ——iDBus单元:iD7..iD0(JP38)

存贮器MEM单元:A7..A0(JP72) ——ABus单元:A07..A00(JP56)

存贮器MEM单元:D7..D0(JP73) ——DBus单元:D7..D0(JP53)

存贮器MEM单元:M_nRD、M_nWR(JP71) ——CBus单元:nM_RD、nM_WR (JP44) IN单元:IN7..IN0(JP101) ——DBus单元:D7..D0(JP52)

IN单元:nRD(JP100)——CBus单元:nIO_RD (JP49)

IN单元:nCS(JP100)——扩展区单元:GND(JP60)

OUT单元:nWR (JP68) ——CBus单元:nIO_WR (JP48)

OUT单元:nCS(JP68)——扩展区单元:GND(JP60)

OUT单元:I7..I0(JP69)——DBus单元:D7..D0(JP54)

OUT单元:OUT7..OUT0(JP70) ——扩展区单元:7..0(JP65)

2、实验操作

(1)打开实验仪电源,设置初始化状态。

①打开实验仪电源,按CON单元的nRST键完成初始化复位, 实验仪为运行状态,

此时EXEC键上方的DS111 LED指示灯亮。

②置IO操作状态:sK15(M_nIO)=0;

置地址寄存器三态输出使能有效:sK11(nMAROE)=0;

置IO和存储器读写控制信号无效:sK14(nRD)=1、sK13(nWR)=1;

置写地址寄存器控制信号无效:sK10(wMAR)=1;

置寄存器R0读写控制信号无效:sK9(rR0)=1、 sK8(wRO)=1;

置sK12(nINTA)=1(使中断响应信号不干扰相应操作)。

③按ALU单元的Select键,直至DS78 LED指示灯亮,此时R_7..R_0LED 指示灯

显示的是寄存器R0的内容。

(2)输入设备输入数据55H,将数据写入R0寄存器

①置IN单元数据输入开关sD7..sD0=0101 0101B;

②置输入设备读控制信号有效:sK14(nRD)= 0;

置R0寄存器写控制信号有效:sK8(wR0)=0;

③按uSTEP+1键,在T3的下降沿,数据55H写入R0寄存器(ALU单元的DS65..DS72

显示R0内容);

④ 结束写入R0:置R0寄存器写控制信号无效:sK8(wR0)=1。 (3)输入设备输入地址,将地址15H 写入地址寄存器

① 置IN 单元数据输入开关sD7..sD0=0001 0101B ;

② 置存储器地址寄存器写控制信号有效:sK10(wMAR)= 0; ③ 按uSTEP+1键,在T3的下降沿,地址信号15H 写入存储器地址寄存器MAR 中(MAR 单元的DS48..DS41显示地址信号)。

④ 结束写地址操作:置读控制信号无效:sK14(nRD)= 1;置存储器地址寄存器写

控制信号无效:sK10(wMAR)= 1;

(4)将 R0寄存器中的数据55H 写入到当前地址(15H )的存储单元中

① 置存储器操作状态:sK15(M_nIO )=1;

② 置R0寄存器读出控制信号有效:sK9(rR0)= 0;

置存储器写控制信号有效:sK13(nWR )=0;

③ 按uSTEP+1键,在T2时刻,数据55H 写入地址为15H 的存储单元中。 ④ 结束存储器写操作:置R0寄存器读出控制信号无效:sK9(rR0)=1;

置存储器写控制信号无效:sK13(nWR )=1;

(5)读出当前地址(15H )存储单元中的数据(55H ),送R0寄存器

① 置存储器读命令有效:sK14(nRD )=0;

置R0寄存器写控制信号有效:sK8(wR0)= 0;

② 按uSTEP+1键,在T3的下降沿,地址为15H 的存储单元中的数据55H 写入R0寄存器;

③ 结束存储器读操作:置存储器读命令无效:sK14(nRD )=1;

置R0寄存器写控制信号无效:sK8(wR0)= 1;

(6)将R0寄存器中的数据55H 送 LED 数码管显示。

① 置IO 操作状态:sK15(M_nIO )=0;

② 置R0寄存器读出控制信号有效:sK9(rR0)= 0; 置输出设备写控制信号有效:sK13(nWR )= 0;

③ 按uSTEP+1键,在T2的下降沿,R0寄存器中的数据55H 送LED 数码管显示。此时,扩展区右边两个数码管显示读出内容55H 。

④ 结束输出操作:置R0寄存器读出控制信号无效:sK9(rR0)= 1; 置输出设备写控制信号无效:sK13(nWR )= 1。

具体操作见图3-2。

图 3-2 总线传输操作步骤

DS65..DS72显示R0内容 DS48..DS41显示MAR 内容 右两位数码管显示

输出内容

IN 单元输入数据 01010101B 写入R0 IN 单元输入地址 00010101B 写入MAR 将R0的内容写入MEM 读出MEM 中数据 写入R0 R0中数据输出至OUT 设备

初始化 rR0 = 1 wR0 = 1 M_nIO = 0 nRD = 0→1 nWR = 1 nMAROE = 0 wMAR = 0→1 T3 rR0 = 1 wR0 = 0→1 M_nIO = 0 nRD = 0 nWR = 1 nMAROE = 0 wMAR = 1 T3 Sk9 Sk8 Sk15 Sk14 sk13 sk11 sk10 uSTEP+1键 rR0 = 0→1 wR0 = 1 M_nIO = 1 nRD = 1 nWR = 0→1 nMAROE = 0 wMAR = 1 T2 rR0 = 1 wR0 = 0→1 M_nIO = 1 nRD = 0→1

nWR = 1 nMAROE = 0 wMAR = 1 T2、T3 rR0 = 0→1

wR0 = 1

M_nIO = 0 nRD = 1 nWR = 0→1 nMAROE = 0 wMAR = 1

T2

五、实验数据记录

画出实验过程中的数据通路图,并给出相应的控制信号:

1、外部设备输入数据至R0寄存器。

2、外部设备输入地址至地址寄存器。

3、R0寄存器数据写入地址所选的存储单元。

4、读出当前地址所选的存储单元中的数据,送R0寄存器。

nMAROE

M_nIO

nRD

nWR

M_nIO

M_nIO

nMAROE

M_nIO

nRD

nWR

M_nIO

M_nIO

nMAROE

M_nIO

nRD

nWR

M_nIO

M_nIO

nMAROE

M_nIO

nRD

nWR

M_nIO

M_nIO

5、RO 寄存器数据送LED 数码管显示。

六、实验结果分析

1、由输入设备IN 模块输入的两个数据分别表示什么?有什么不同的作用?

2、 当外部设备输入地址至地址寄存器时,为什么要将控制信号wR0和nWR 置为无效电平?

3、当进行实验内容第(4)步:读出当前地址所选的存储单元中的数据,送R0寄存器时,为什么没有输入存储器地址?

nMAROE

M_nIO

nRD

nWR

M_nIO

M_nIO

上海建桥学院实验报告

课程名称:计算机组成原理实验类型:验证型

实验项目名称:微程序控制器的实现

实验地点:实验日期:年月日

一、实验目的和要求

1、掌握微程序的设计方法;

2、掌握微程序控制器的组成原理;

3、掌握微程序控制器的工作原理。

二、实验原理和内容

实验采用的微程序控制器框图见图4-1。控制存储器uM由四片6116构成;微指令寄存器共32位uM31..uM0,由四片8D触发器(74HC574)构成;微地址寄存器uPC 8位,可寻址256条微指令;后继微地址由指令寄存器IR的OP码A0..A7或微指令寄存器的后继微地址字段uM_PC7..uM_PC0决定。

微指令寄存器的高24位uM31..uM8可由微存储器uM单元的LED指示灯DS24..DS1显示;8位微地址寄存器输出uPC7..uPC0可由DS32..DS25显示。

在取指周期的T2下降沿,将读取8位机器指令,并锁存到指令寄存器(IR)中(由IR单元的LED指示灯D0..D7显示)。T3时刻译码生成该机器指令对应的微程序首地址,送入微地址寄存器(uPC)。逐条从控制存储器中读取微指令解释执行机器指令。

指令的OP码

图4-1 微程序控制器框图

1、微指令设计

模型机共需25个微命令,本实验设计的微指令长度为32位,包括24位操作控制字段和8位后继微地址字段。微指令格式如下:

位31 30 29 28 27 26 25 24 23 22 21 信号名iEnd wA wB wIR SP_nOE rRDi rRi rALU A字段wPSW 位20 19 18 17 16 15 14 13 12 11-8 信号名CN_I rPC PC+1 nMAROE nPCOE nINTA nWR nRD M_nIO S3..S0 位7-0

信号名uM_PC7..uM_PC0

其中A字段为译码字段,译码产生3个微命令,具体如下:

A字段

23 22 选择

0 0 NOP

0 1 wRi

1 0 wPC

1 1 wMAR

各微命令含义为:

wA:写暂存器A。低电平有效,在T1的下降沿,将iDBus上的数据写到暂存器A;

wB:写暂存器B。低电平有效,在T2的下降沿,将iDBus上的数据写到暂存器B;

wIR:写指令寄存器IR。低电平有效,在T2的下降沿,将iDBus上的数据写到IR;

rRDi:读通用寄存器。低电平有效,在T1时刻,通用寄存器数据输出至iDBus上;

rRi: 读通用寄存器。低电平有效,在T2时刻,通用寄存器数据输出至iDBus上;在T3时刻,如果rALU信号为高电平,则通用寄存器中数据保持输出至iDBus上;

rALU:允许ALU结果输出到iDBus上。低电平有效,在T3时刻,ALU的运算结果输出到iDBus上(这时rRi、nRD仅在T2时刻有效)

wRi:写通用寄存器。低电平有效,在T3的下降沿,将iDBus上的数据写到通用寄存器中;

rPC:读PC,低电平有效(本实验执行手拨机器指令,该信号不用);

nPCOE:PC输出使能,低电平有效;

PC+1:高电平有效;

CN_I、S3..S0:控制ALU执行各种操作(参阅运算器实验)。

M_nIO nRD nWR 有效期

读I/O(nIO_RD) 0 0 1 T2,T3(rALU=1)

写I/O(nIO_WR) 0 1 0 T2

2、实验模型机指令系统

实验模型机指令系统共为4条机器指令:

助记符机器指令码说明

IN 1010 1000 IN -> R0

ADD 0000 0000 R0 + R0 -> R0

OUT 1010 1100 R0 -> OUT

HALT 1111 1111 停机指令流程见图4-2。

图4-2 指令流程

三、主要仪器设备

STAR COP2018实验仪一套、PC机一台。

四、实验步骤

1、微指令代码编写

根据指令流程编写微指令代码,填入表4-1。

表4-1

地址

D31..D0 D11..D8 D7..D0

uM31..uM0 S3..S0 uM_PC7..uM_PC0

2、实验接线

(1)关闭实验台电源。

(2)完成如下实验电路连线,并检查无误。

uM单元:S3、S2、S1、S0(JP1) ——ALU单元:S3、S2、S1、S0 (JP18)

uM单元:wA、wB、rALU、CN_I(JP4) ——ALU单元:wA、wB、rALU、CN_I(JP19)

uM单元:rRi、wRi(JP5) ——ALU单元:rR0、wR0(JP17)

uM单元:rRdi(JP5) ——ALU单元:rRd0(JP21)

uM单元:wIR(JP10) ——IR单元:wIR(JP32)

uM单元:M_nIO、nRD、nWR、nINTA(JP2) ——CBus单元:M_nIO、nRD、nWR、nINTA(JP42) ALU单元:IN7..0(JP22) ——iDBus单元:iD7..0(JP38)

ALU单元:ALU_D7..ALU_D0(JP25) ——iDBus单元:iD7..0(JP37)

ALU单元:iD7..iD0(JP24) ——iDBus单元:iD7..0(JP36)

IR单元:D7..D0(JP35) ——开关区单元:K7..K0(JP97)

IR单元:IR_A7..IR_A0(JP30) ——uPC单元:IR_A7..IR_A0(JP12)

IN单元:IN7..IN0(JP101) ——DBus单元:D7..D0(JP52)

IN单元:nCS、nRD(JP100) ——CBus单元:IO_nCE0、nIO_RD(JP49)

OUT单元:nCS、nWR(JP68) ——CBus单元:IO_nCE0、nIO_WR(JP48)

OUT单元:I7..I0(JP69) ——DBus单元:D7..D0(JP54)

OUT单元:D7..D0(JP70) ——扩展区单元:7..0(JP65)

DBus单元:D7..D0(JP53) ——扩展区单元:7..0(JP63)

(3)将实验仪通过USB接口连接PC机。

3、实验操作

(1)打开实验仪电源,按CON单元的nRST按键,复位。实验仪为运行状态,此时EXEC 键上方的DS111 LED指示灯亮。

(2)采用联机方法对控制存储器uM写入微程序。

①将微程序编写成文件格式

将微程序以如下指定格式写入到扩展名为PuM的文件中:

$uM 00 6F0ED001 ;取指(MEM->IR)、PC+1

$uM 08 3B0AF009 ;R0->A, uPC=09

$uM 09 5D0AF00A ;R0->B, uPC=0A

$uM 0A 7E4AF800 ;A+B->R0, uPC=0

$uM 14 7F49C000 ;IN->R0, uPC=0

$uM 16 7D09A000 ;R0->OUT, uPC=0

$uM 3F 7F0AF03F ;HALT

计算机组成原理实验报告

《计算机组成原理》 实验报告 实验室名称:S402 任课教师:邹洋 小组成员:王娜任芬 学号:2010212121 2010212119

实验一_HAMMING码 (2) 实验二_乘法器 (7) 实验三_时序部件 (16) 实验四_CPU__算术逻辑单元实验 (24) 实验五_CPU__指令译码器实验 (32) 实验六_CPU_微程序控制器实验1 (43) 实验七_八_CPU实验 (59)

1 编码实验:Hamming码 1.1、实验目的 1、对容错技术有初步了解,理解掌握海明码的原理 2、掌握海明码的编码以及校验方法 1.2、实验原理 海明码是由Richard Hamming于1950年提出的,目前是被广泛采用的很有效的校验编码。它的特点是只要增加少数几个校验位,就能检测出多位出错,并能自动纠错。 Hamming码的实现原理是在数据中加入几个校验位,将数据代码的码距比较均匀的拉大,并把数据的每一个二进制位分配在几个奇偶校验组中。当某一位出错后,就会引起有关的几个校验位的值发生变化。这不但可以发现出错,还能指出是哪一位出错,为进一步自动纠错提供了依据。 假设校验位的个数为r,则它能表示2r个信息,用其中的一个信息指出“没有错误”,其余的2r-1个信息指出错误发生在哪一位。然而错误也可能发生在校验位,因此只有k=2r-1-r个信息能用于纠正被传送数据的位数,也就是说要满足关系: 2r≥k+r+1 若要能检测与自动校正一位错,并能发现两位错,此时校验位的位数r和数据位的位数k应满足下述关系:2r-1≥k+r 按上述不等式,可计算出数据位k与校验位r的对应关系,如表1.1所示: 表1.1 数据位k与校验位r的对应关系 k值最小的r值 1~3 4 4~10 5 11~25 6 26~56 7 57~119 8 若海明码的最高位号为m,最低位号为1,即H m H m-1…H2H1,则此海明码的编码规律通常是 1)校验位与数据位之和为m,每个校验位P i在海明码中被分在位号为2i-1的位置上,其余各位为数据位,并按从低向高逐位依次排列的关系分配各数据位。 2)海明码的每一位码H i(包括数据位和校验位本身)由多个校验位校验,其关系是被校验的每一位位号等于校验它的各校验位的位号之和。 3)在增大合法码的码距时,所有码的码距应尽量均匀增大,以保证对所有码的检错能力平衡提高。 下面具体看一下对一个字节进行海明编码的实现过程。 只实现一位纠错两位检错,由前面的表可以看出,8位数据位需要5位校验位,可表示为H13H12…H2H1。 五个校验位P5~P1对应的海明码位号分别为H13、H8、H4、H2和H1。P5只能放在H13位

计算机组成原理_实验报告四(含答案)

湖南科技学院 电子与信息工程学院 实验报告 课程名称: 姓名: 学号: 专业: 班级: 指导老师:

实验四微程序控制组成实验 一、实验目的及要求 1.将微程序控制器同执行部件(整个数据通路)联机,组成一台模型计算机。 2.用微程序控制器控制模型计算机的数据通路。 3.执行给定的简单程序,掌握机器指令与微指令的关系,牢固建立计算机的整机概念。 二、实验电路 本次实验将前面几个实验中的所模块,包括运算器、存储器、通用寄存器堆等同微程序控制器组合在一起,构成一台简单的模型机。这是最复杂的一个实验,也将是最有收获的一个实验。 在前面的实验中,实验者本身作为“控制器”,完成了对数据通路的控制。而在本次实验中,数据通路的控制将交由微程序控制器来完成。实验机器从内存中取出一条机器指令到执行指令结束的一个指令周期,是由微程序完成的,即一条机器指令对应一个微程序序列。 实验电路大致如下面框图所示。其中控制器是控制部件,数据通路是执行部件,时序发生器是时序部件。需使用导线将各个部件控制信号与控制器相连。 三、实验主要仪器设备 1.TEC-5计算机组成实验系统1台 2.逻辑测试笔一支(在TEC-5实验台上) 四、实验任务 1.对机器指令组成的简单程序进行译码。将下表的程序按机器指令格式手工汇编成二进制机器代码, 此项任务请在预习时完成。 2. 3.使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH。

4.使用控制台命令将任务1中的程序代码存入内存中(注意起始地址为30H),以及将内存地址为 11H的单元内容设置为0AAH。 5.用单拍(DP)方式执行一遍程序,执行时注意观察各个指示灯的显示并做好记录(完成实验表格), 从而跟踪程序执行的详细过程(可观察到每一条微指令的执行过程)。 6.用连续方式再次执行程序。这种情况相当于计算机正常的工作。程序执行到STP指令后自动停机。 读出寄存器中的运算结果,与理论值比较。 五、实验步骤和实验结果记录 1.程序译码。 2.实验接线(本实验接线比较多,需仔细) 只要把上表种同列的信号用线连接即可,一共接线33条。 接好线后,将编程开关拨到“正常位置”。合上电源,按CLR#按钮,使TEC-5实验实验系统处于初始状态。 3.实验任务3:使用控制台命令将寄存器内容初始化为:R0=11H,R1=22H,R2=0AAH的操作步骤及结果记录。 (1)掌握写寄存器WRF的原理和步骤(详见实验参考资料)。 (2)操作过程如下:

计算机组成原理实验报告(四个实验 图)

福建农林大学计算机与信息学院 计算机类 实验报告 课程名称:计算机组成原理 姓名:周孙彬 系:计算机 专业:计算机科学与技术 年级:2012级 学号:3126010050 指导教师:张旭玲 职称:讲师 2014年06 月22日

实验项目列表 序号实验项目名称成绩指导教师 1 算术逻辑运算单元实验张旭玲 2 存储器和总线实验张旭玲 3 微程序控制单元实验张旭玲 4 指令部件模块实验张旭玲

福建农林大学计算机与信息学院信息工程类实验报告系:计算机专业:计算机科学与技术年级: 2012级 姓名:周孙彬学号: 3126010050 实验课程: 实验室号:_______ 实验设备号:实验时间: 指导教师签字:成绩: 实验一算术逻辑运算单元实验 实验目的 1、掌握简单运算器的数据传输方式 2、掌握74LS181的功能和应用 实验要求 完成不带进位位算术、逻辑运算实验。按照实验步骤完成实验项目,了解算术逻辑运算单元的运行过程。 实验说明 1、ALU单元实验构成(如图2-1-1) 1、运算器由2片74LS181构成8位字长的ALU单元。 2、2片74LS374作为2个数据锁存器(DR1、DR2),8芯插座ALU-IN作为数据输入端,可通过短8芯扁平电缆,把数据输入端连接到数据总线上。 运算器的数据输出由一片74LS244(输出缓冲器)来控制,8芯插座ALU-OUT 作为数据输出端,可通过短8芯扁平电缆把数据输出端连接到数据总线上。

图2-1-1

图2-1-2

2、ALU单元的工作原理(如图2-1-2) 数据输入锁存器DR1的EDR1为低电平,并且D1CK有上升沿时,把来自数据总线的数据打入锁存器DR1。同样使EDR2为低电平、D2CK有上升沿时把数据总线上的数据打入数据锁存器DR2。 算术逻辑运算单元的核心是由2片74LS181组成,它可以进行2个8位二进制数的算术逻辑运算,74LS181的各种工作方式可通过设置其控制信号来实现(S0、S1、S2、S3、M、CN)。当实验者正确设置了74LS181的各个控制信号,74LS181会运算数据锁存器DR1、DR2内的数据。由于DR1、DR2已经把数据锁存,只要74LS181的控制信号不变,那么74LS181的输出数据也不会发生改变。 输出缓冲器采用74LS244,当控制信号ALU-O为低电平时,74LS244导通,把74LS181的运算结果输出到数据总线;当ALU-O为高电平时,74LS244的输出为高阻。 实验步骤 1、不带进位位逻辑或运算实验 把ALU-IN(8芯的盒型插座)与CPT-B板上的二进制开关单元中J01插座相连(对应二进制开关H16~H23),把ALU-OUT(8芯的盒型插座)与数据总线上的DJ2相连。 把D1CK和D2CK用连线连到脉冲单元的PLS1上,把EDR1、EDR2、ALU-O、S0、S1、S2、S3、CN、M接入二进制开关(请按下表接线)。 控制信号接入开关位号 D1CK PLS1 孔 D2CK PLS1 孔 EDR1 H8 孔 EDR2 H7 孔 ALU-O H6 孔 CN H5 孔 M H4 孔

上海大学计算机组成原理实验报告(全)

一.数据传送实验 1.实验内容及要求 在试验箱上完成以下内容: 将58H写入A寄存器。 将6BH写入W寄存器。 将C3H写入R1寄存器。 2.实验环境 本实验箱用74HC574(8D型上升沿触发器)构成各种寄存器。 3.实施步骤或参数 ①注视仪器,打开电源,手不要远离电源开关,随时准备关闭电源,注意各数码管、发光管的稳定性,静待10秒,确信仪器稳定、无焦糊味。 ②设置实验箱进入手动模式。 ③ K2接AEN,K1和K2接EX0和EX1,设置K2K1K0=010,设置K23~K16=0101 1000。 ④注视A及DBUS的发光管,按下STEP键,应看到CK灯灭、A旁的灯亮。记住看到的实际显示情况。 ⑤放开STEP键,应看到CK灯亮、A寄存器显示58。记住看到的实际情况。 ⑥重复上述实验步骤,在做6BH时,K2接WEN,K1和K2接EX2和EX3,设置K2K1K0=010,设置K23~K16=0110 1011;重复上述实验步骤,在做C3H时,K2接RWR,K1和K2接SB和SA,设置K2K1K0=001,设置K23~K16=1010 0011。 ⑦关闭实验箱电源。 4.测试或者模拟结果 A寄存器显示58,W寄存器显示6B,R1寄存器显示C3,完成实验目的。 5.体会 本次实验相对简单,只需要三根线便可以完成整个实验,但是,今天认识了实验箱,并 124

且在老师的带领下较为完整的认识了整个试验箱,还是很开心的,今后实验箱将是我们学习计算机组成原理的重要工具,也是我们的好朋友。 二.运算器实验 1.实验内容及要求 (1)在试验箱上完成以下内容: 计算07H+6AH后左移一位的值送OUT输出。 把39H取反后同64H相或的值送入R2寄存器。 (2)通过人工译码,加深对译码器基本工作原理的理解。 理解(微)命令的顺序执行过程。 2.实验环境 在实验箱上使用微程序来完成。 3.实施步骤或参数 ①注视仪器,打开电源,手不要远离电源开关,随时准备关闭电源,注意各数码管、发光管的稳定性,静待10秒,确信仪器稳定、无焦糊味。 ②设置实验箱进入手动模式。 ③先将07H的值送至寄存器A中,x2x1x0(k10k9k8)=000,AEN(k3)=0, k23-k16=00000111 按下STEP键将数值打入A寄存器。然后将AEN(K3)置于1;后将6AH的值送至寄存器W中,x2x1x0(k10k9k8)=000,WEN(k4)=0, k23-k16=01101010,按下STEP键数值打入W寄存器。 ④将WEN(k4)置于 1.然后使s2s1s0(k2k1k0)=000,实现A+W(07H+6AH),后使x2x1x0(k10k9k8)=110,使结果左移一位后OUT输出。 125

计算机组成原理实验报告(4个)

上海建桥学院 本科实验报告 课程名称:计算机组成原理 学号: 姓名: 专业: 班级: 指导教师: 课内实验目录及成绩 序号实验名称页码成绩 1 八位算术逻辑运算 1 2 静态随机存取存储器实验6 3 数据通路11 4 微程序控制器的实现16 总成绩 信息技术学院 2014年03 月20 日

上海建桥学院实验报告 课程名称:计算机组成原理实验类型:验证型 实验项目名称:八位算术逻辑运算 实验地点:实验日期:年月日 一、实验目的和要求 1、掌握运算器的基本组成结构; 2、掌握运算器的工作原理。 二、实验原理和内容 实验采用的运算器数据通路如图1-1所示,ALU逻辑功能表如表1-1所示。 图1-1运算器原理图

ALU部件由一片 CPLD实现,内部含有三个独立的运算部件,分别为算术、逻辑和移位运算部件。输入数据IN[7..0](由插座JP22引出)通过拨动开关sK7..sK0产生(开关由插座JP97引出)。数据存于暂存器A或暂存器 B中(暂存器A和B的数据可在 LED灯上实时显示),三个部件可同时接受来自暂存器 A和 B的数据。各部件对操作数进行何种运算由控制信号S3…S0和CN_I来决定(S3…S0由插座JP18引出;CN_I由插座JP19引出),可通过拨动开关sK23..sK20和sK12设置(开关由插座JP89、JP19引出)。运算结果由三选一多路开关选择,任何时候,多路开关只选择三个部件中的一个部件的运算结果作为ALU的输出。ALU的输出ALU_D7..ALU_D0通过三态门74LS245送至CPU内部数据总线(iDBus)上(由插座JP25引出),并通过扩展区单元的的二位数码管和DS94..DS101LED灯显示(LED灯由插座JP62引出)。如果运算影响进位标志FC、零标志FZ、正负标志FS,则在T3状态的下降沿,相应状态分别锁存到FC、FZ、FS触发器中,实验仪设有LED灯显示各标志位状态。 操作控制信号wA(允许写暂存器A)、wB(允许写暂存器B)、rALU(允许ALU结果输出到内部数据总线(iDBus)上)由JP19引出,都为低电平有效,实验时可通过连接开关sK15..sK13设置(开关由插座JP92引出)。 实验所需的时序信号T1、T2、T3,以及复位信号nRST均已与控制台(CON)相连。 表1-1 ALU逻辑功能表 运算类型S3 S2 S1 S0 CN_I 功能 逻辑运算0000 0 F = A (直通) 1 F = B (直通) 0001 X F = A + B (或)(FZ)0010 X F = A * B (与)(FZ)0011 X F=A⊕B (异或)(FZ)0100 X F=/A (取反)(FZ) 移位运算0101 F=A 不带进位循环右移 移位位数由暂存器B的低3位决定 (FZ) 1 F=A 算术右移一位(FZ)0110 0 F=A 逻辑右移一位(FZ) 1 F=A 带进位循环右移一位(FC,FZ)0111 0 F=A 逻辑左移一位(FZ) 1 F=A 带进位循环左移一位(FC,FZ) 算术运算1000 0 F=A+B (FC,FZ,FS) 1 F=A+B+FC (FC,FZ,FS)1001 0 F=A-B (FC,FZ,FS) 1 F=A-B-FC (FC,FZ,FS)1010 0 F=A+1 (FZ) 1 F= NEG A (取补) (FZ)1011 X F=A-1 (FZ) 其它1100 X 置FC=CN_I (FC)1101 X 置EI=CN_I (EI) 1110 (保留) 1111 (保留) * 表中:功能栏内的FC、FZ、FS表示当前运算会影响到该标志(状态信息显示发生在T3下降沿);

计算机组成原理 实验报告

计算机组成原理实验报告

实验一基本运算器实验 一、实验目的 1.了解运算器的组成结构 2.掌握运算器的工作原理 3.深刻理解运算器的控制信号 二、实验设备 PC机一台、TD-CMA实验系统一套 三、实验原理 1.(思考题)运算器的组成包括算数逻辑运算单元ALU(Arithmetic and Logic Unit)、浮点运算单元FPU(Floating Point Unit)、通用寄存器组、专用寄存器组。 ①算术逻辑运算单元ALU(Arithmetic and Logic Unit) ALU主要完成对二进制数据的定点算术运算(加减乘除)、逻辑运算(与或非异或)以及移位操作。在某些CPU中还有专门用于处理移位操作的移位器。 通常ALU由两个输入端和一个输出端。整数单元有时也称为IEU(Integer Execution Unit)。我们通常所说的“CPU是XX位的”就是指ALU所能处理的数据的位数。 ②浮点运算单元FPU(Floating Point Unit) FPU主要负责浮点运算和高精度整数运算。有些FPU还具有向量运算的功能,另外一些则有专门的向量处理单元。 ③通用寄存器组 通用寄存器组是一组最快的存储器,用来保存参加运算的操作数和中间结果。 ④专用寄存器 专用寄存器通常是一些状态寄存器,不能通过程序改变,由CPU自己控制,表明某种状态。 而运算器内部有三个独立运算部件,分别为算术、逻辑和移位运算部件,逻辑运算部件由逻辑门构成,而后面又有专门的算术运算部件设计实验。 下图为运算器内部原理构造图

2.运算器的控制信号 实验箱中所有单元的T1、T2、T3、T4都连接至控制总线单元的T1、T2、T3、T4,CLR都连接至CON单元的CLR 按钮。T4由时序单元的TS4提供(脉冲信号),其余控制信号均由CON单元的二进制数据开关模拟给出。控制信号中除T4为脉冲信号外,其余均为电平信号,其中ALU_B为低有效,其余为高有效。 下图为ALU和外围电路的连接。图中的小方框代表排针座。

计算机组成原理实验报告_4

《计算机组成原理及系统结构》实验报告 实验名称运算器实验、通用寄存器实验、移位寄存器实验 实验室实验楼418 实验日期2014-11-20、2014-11-25、2014-11-27 实验一运算器实验 一、实验目的 1. 掌握简单运算器的数据传输方式。 2. 验证运算器功能发生器(74LS181)及进位控制的功能组合。 3. 完成不带进位及带进位算术运算实验、逻辑运算实验,了解算术逻辑运算单元的运用。 二、实验原理 图1输入设备单元 实验中所用的输入/输出设备如图1所示。此次实验需要连线的有三个部分,一是运算单元,二是时序启停单元,三是缓冲输入单元。进行实验时,先按动复位按钮,让系统进行初始化,然后,操作通过【增址】切换到“L”状态,即手动模式进行,通过键盘,数据开关和二进制开关单元控制数据输入。写操作,读操作和运算结果都通过数据显示灯显示,该显示接口以二进制方式显示输出结果(灯亮表示该输出位为1,灯灭表示该输出位为0)。【单步】键用来控制脉冲信号,二进制开关中的LDDR1,LDDR2,ALU-B,SW-B,S3,S2,S1,S0,CN,M均为高电平有效(灯亮为高电平,灯灭为低电平). 两片74LS181芯片以串/并形式构成的8位字长的运算器,两个芯片的控制端S0-S3和M各自相连,运算器的两个数据输入端分别由两个数据暂存器DR1,DR2锁存数据。将总线中的数据锁存到DR1和DR2中,则LDDR1或LDDR2需为高电平,当脉冲来临时,总线中的数据就可以锁存到DR1和DR2中了。数据显示灯已于总线相连,用来显示总线上的数据,当DR1,DR2打入数据时,数据开关三态门打开,运算器输入三态门关闭,输出

计算机组成原理实验报告

实验1 通用寄存器实验 一、实验目的 1.熟悉通用寄存器的数据通路。 2.了解通用寄存器的构成和运用。 二、实验要求 掌握通用寄存器R3~R0的读写操作。 三、实验原理 实验中所用的通用寄存器数据通路如下图所示。由四片8位字长的74LS574组成R1 R0(CX)、R3 R2(DX)通用寄存器组。图中X2 X1 X0定义输出选通使能,SI、XP控制位为源选通控制。RWR为寄存器数据写入使能,DI、OP为目的寄存器写选通。DRCK信号为寄存器组打入脉冲,上升沿有效。准双向I/O输入输出端口用于置数操作,经2片74LS245三态门与数据总线相连。 图2-3-3 通用寄存器数据通路

四、实验内容 1. 实验连线 K23~K0置“1”,灭M23~M0控位显示灯。然后按下表要求“搭接”部件控制电路。 连线 信号孔 接入孔 作用 有效电平 1 DRCK CLOCK 单元手动实验状态的时钟来源 上升沿打入 2 X2 K10(M10) 源部件译码输入端X2 三八译码 八中选一 低电平有效 3 X1 K9(M9) 源部件译码输入端X1 4 X0 K8(M8) 源部件译码输入端X0 5 XP K7(M7) 源部件奇偶标志:0=偶寻址,1=奇寻址 6 SI K20(M20) 源寄存器地址:0=CX ,1=DX 7 RWR K18(M18) 通用寄存器写使能 低电平有效 8 DI K17(M17) 目标寄存器地址:0=CX ,1=DX 9 OP K16(M16) 目标部件奇偶标志:0=偶寻址,1=奇寻址 2. 寄存器的读写操作 ① 目的通路 当RWR=0时,由DI 、OP 编码产生目的寄存器地址,详见下表。 通用寄存器“手动/搭接”目的编码 目标使能 通用寄存器目的编址 功能说明 RW(K18) DI(K17) OP(K16) T 0 0 0 ↑ R0写 0 0 1 ↑ R1写 0 1 0 ↑ R2写 0 1 1 ↑ R3写 ② 通用寄存器的写入 通过“I/O 输入输出单元”向R0、R1寄存器分别置数11h 、22h ,操作步骤如下: 通过“I/O 输入输出单元”向R2、R3寄存器分别置数33h 、44h ,操作步骤如下: ③ 源通路 当X2~X0=001时,由SI 、XP 编码产生源寄存器,详见下表。 通用寄存器“手动/搭接”源编码 源使能 通用寄存器源编址 功能说明 K10 K9 K8 K20 K7 X2 X1 X0 SI XP 置数 I/O=XX33h 数据来源 I/O 单元 寄存器 R2=33h K10~K7=1000 按【单拍】按钮 置数 I/O=XX44h 寄存器 R3=44h 按【单拍】按钮 K18~K16=010 K18~K16=011 置数 I/O=XX11h 数据来源 I/O 单元 寄存器 R0=11h K10~K7=1000 按【单拍】按钮 置数 I/O=XX22h 寄存器 R1=22h 按【单拍】按钮 K18~K16=000 K18~K16=001

计算机组成原理实验报告

计算机组成原理实验报告 实验一静态随机存取存贮器实验 一.实验目的 介绍静态随机存取存贮器的工作原理;掌控读取存贮器的方法。 二.实验内容 实验仪的存贮器mem单元采用一片静态存贮器6116(2k×8bit)存放程序和数据。 ce:片选信号线,低电平有效,实验仪已将该管脚接地。oe:读信号线,低电平有效。we:写信号线,低电平有效。a0..a10:地址信号线。i/o0..i/o7:数据信号线。 ceoewe功能1××不选上6116001读010写下000不确认sram6116功能表 存贮器挂在cpu的总线上,cpu通过读写控制逻辑,控制mem的读写。实验中的读写 控制逻辑如下图: 读取掌控逻辑 m_ni/o用来选择对mem还是i/o读写,m_ni/o=1,选择存贮器mem;m_ni/o=0,选择 i/o设备。nrd=0为读操作;nwr=0为写操作。对mem、i/o的写脉冲宽度与t2一致;读脉冲宽度与t2+t3一致,t2、t3由con单元提供。 存贮器实验原理图 存贮器数据信号线与数据总线dbus相连;地址信号线与地址总线abus相连,6116的高三位地址a10..a8接地,所以其实际容量为256字节。 数据总线dbus、地址总线abus、掌控总线cbus与拓展区单元相连,拓展区单元的数 码管、发光二极管上表明对应的数据。 in单元通过一片74hc245(三态门),连接到内部数据总线idbus上,分时提供地址、 数据。mar由锁存器(74hc574,锁存写入的地址数据)、三态门(74hc245、控制锁存器 中的地址数据是否输出到地址总线上)、8个发光二极管(显示锁存器中的地址数据)组成。 t2、t3由con单元提供更多,按一次con单元的ustep键,时序单元收到t1信号; 按一次ustep键,时序单元收到t2信号;按一次ustep键,时序单元收到t3信号;再按 一次ustep键,时序单元又收到t1信号,……

计算机组成原理实验报告运算器组成存储器

计算机组成原理实验报告运算器组成存储器 RUSER redacted on the night of December 17,2020

计算机组成原理实验报告 一、实验1 Quartus Ⅱ的使用 一.实验目的 掌握Quartus Ⅱ的基本使用方法。 了解74138(3:8)译码器、74244、74273的功能。 利用Quartus Ⅱ验证74138(3:8)译码器、74244、74273的功能。 二.实验任务 熟悉Quartus Ⅱ中的管理项目、输入原理图以及仿真的设计方法与流程。 新建项目,利用原理编辑方式输入74138、74244、74273的功能特性,依照其功能表分别进行仿真,验证这三种期间的功能。 三.74138、74244、74273的原理图与仿真图 1.74138的原理图与仿真图 74244的原理图与仿真图 1. 4.74273的原理图与仿真图、 实验2 运算器组成实验 一、实验目的 1.掌握算术逻辑运算单元(ALU)的工作原理。 2.熟悉简单运算器的数据传送通路。 3.验证4位运算器(74181)的组合功能。 4.按给定数据,完成几种指定的算术和逻辑运算。 二、实验电路 附录中的图示出了本实验所用的运算器数据通路图。8位字长的ALU由2片74181构成。2片74273构成两个操作数寄存器DR1和DR2,用来保存参与运算的数据。DR1接ALU的A数据输入端口,DR2接ALU的B数据输入端口,ALU的

数据输出通过三态门74244发送到数据总线BUS7-BUS0上。参与运算的数据可通过一个三态门74244输入到数据总线上,并可送到DR1或DR2暂存。 图中尾巴上带粗短线标记的信号都是控制信号。除了T4是脉冲信号外,其他均为电位信号。nC0,nALU-BUS,nSW-BUS均为低电平有效。 三、实验任务 按所示实验电路,输入原理图,建立.bdf文件。 四. 实验原理图及仿真图 给DR1存入01010101,给DR2存入10101010,然后利用ALU的直通功能,检查DR1、DR2中是否保存了所置的数。 其实验原理图如下: 波形图如下: 实验3 半导体存储器原理实验 (一)、实验目的 (1)熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法; (2)熟悉半导体存储器存储和读出数据的过程; (3)了解使用半导体存储器电路时的定时要求。 (二)、实验要求 利用Quartus Ⅱ器件库提供的参数化存储单元,设计一个由128X8位的RAM和128X8位的ROM构成的存储器系统。请设计有关逻辑电路,要求仿真通过,并设计波形文件,验证该存储器系统的存储与读出。 (三)、实验原理图与仿真图 ram内所存储的数据: rom内所存储的数据: 仿真图如下: (四)心得体会 本次试验中,我们应该熟练掌握Quartus Ⅱ软件的使用方法;熟悉静态随机存储器RAM和只读存储器ROM的工作特性和使用方法;熟悉半导体存储器存储和读出数据的过程;了解使用半导体存储器电路时的定时要求。并且制定实验方案然后进行实验验证。要学会将学到的知识运用到实际中。 四、实验4 数据通路的组成与故障分析实验 (一)、实验目的 (1)将运算器模块与存储器模块进行连接; (2)进一步熟悉计算机的数据通路; (3)炼分析问题与解决问题的能力,学会在出现故障的情况下,独立分析故障现象并排除故障。 (二)、实验电路 设计一实验电路图,把前面进行的运算器实验模块与存储器实验模块两部分电路连接在一起。RAM和ROM的输出都可以送至寄存器R1和R2作为运算器的输入,而运算器的结果可以送入R3暂存,然后送入RAM的指定单元。整个电路总线结构的形式自行设计。 (三)、实验原理图与仿真图 ram内所存储的数据:

计算机组成原理实验报告

实验一:数字逻辑——交通灯系统设计子实验1: 7 段数码管驱动电路设计 (1)理解利用真值表的方式设计电路的原理; (2)利用Logisim 真值表自动生成电路的功能,设计一个 7 段数码管显示驱动。 二、实验方案设计 7 段数码管显示驱动的设计方案: (1)输入:4 位二进制 (2)输出:7 段数码管 7 个输出控制信号 (3)电路引脚: (4)实现功能:利用 7 段数码管显示 4 位二进制的 16 进制值 (5)设计方法: 由于该实验若直接进行硬件设计会比较复杂,而7 段数码管显示的真值表较容易掌握,所以我们选择由真值表自动生成电路的方法完成该实验。 先分析设计 7 段数码管显示驱动的真值表,再利用Logisim 中的“分析组合逻辑电路”功能,将真值表填入,自动生成电路。 (6)真值表的设计: 由于是 4输入 7输出,真值表共有 16 行。7输出对应 7个引脚,所以需要依次对照LED 灯的引脚顺序进行设计,如下图所示(注意LED 的引脚顺序): 三、实验步骤 (1)在实验平台下载实验框架文件RGLED.circ; (2)在Logisim 中打开RGLED.circ 文件,选择数码管驱动子电路;

(3)点击“工程”中的“分析组合逻辑电路”功能,先构建4输入和7输出,再在“真值表”中,将已设计好的真值表的所有数值仔细对照着填入表格中,确认无误后点击“生成电路”,自动生成的电路如下图所示: (4)将子电路封装为如下形式:

(5)进行电路测试: ·自动测试 在数码管驱动测试子电路中进行测试; ·平台评测 自动测试结果满足实验要求后,再利用记事本打开RGLED.circ 文件,将所有文字信息复制粘贴到Educoder 平台代码区域,点击评测按钮进行测试。 四、实验结果测试与分析 (1)自动测试的部分结果如下: (2)平台测试结果如下: 综上,本实验测试结果为通过,无故障显示。 本实验的关键点在于:在设计时需要格外注重LED 灯的引脚顺序,保证0-9 数字显示的正确性,设计出正确的真值表。

计算机组成原理的实验报告

计算机组成原理的实验报告计算机组成原理的实验报告 程序控制器实验 一、实验目的: (1) 理解时序产生器的原理,了解时钟和时序信号的波形。 (2) 掌握微程序控制器的功能、组成知识。 (3) 掌握微指令格式和各字段功能。 (4) 掌握微指令的编制、写入、观察微程序的运行 二、实验设备 PC机一台,TD―CM3+实验系统一套。 三、实验内容及要求: (一)实验原理: 微程序控制电路与微指令格式 (A) 微程序控制电路 微程序控制器的组成见图10,其中控制存储器采用3片2816的EPROM,具有掉电保 2 护功能,微命令寄存器18位,用两片8D触发器(74273)和一片4D(74175)触发器组成。微地址寄存器6位,用三片正沿触发的双D触发器(7474)组成,它们带有清“0”端和预置端。在不判别测试的情况下,T2时刻打入微地址寄存器的内容即为下一条微指令地址。当T4时刻进行测试判别时,转移逻辑满足条件后输出的负脉冲通过强置端将某一触发器置为“1”状态,完成地址修改。 在该实验电路中设有一个编程开关(位于实验板右上方),它具有三种状态:PROM (编程)、READ(校验)、RUN(运行)。当处于“编程状态”时,实验者可根据微地址和微指令格式将微指令二进制代码写入到控制存储器2816中。当处于“校验状态”时,可以对写入控制存储器中的二进制代码进行验证,从而可以判断写入的二进制代码是否正确。当处于“运行状态”时,只要给出微程序的入口微地址,则可根据微程序流程图自动执行微程序。图中微地址寄存器输出端增加了一组三态门,目的是隔离触发器的输出,增加抗干扰能力,并用来驱动微地址显示灯。 微程序控制器原理图图10

计组实验报告

计算机组成原理实验报告 实验一寄存器组成实验 一、实验目的 (1)熟悉D触发器的功能及使用方法。 (2)掌握寄存器文件的逻辑组成及使用方法。 二、实验内容 (1)掌握Quartus II的使用方法,能够进行数字电路的设计及仿真。 (2)验证Quartus II所提供D触发器的功能及使用方法。 (3)设计具有1个读端口、1个写端口的寄存器文件,并进行存取操作仿真/验证。 三、实验原理及方案 Quartus II提供了多种类型的触发器模块,如D触发器、T触发器等。固定特性的触发器模块有不同的型号,参数化的触发器模块有lpm_ff、lpm_dff、lpm_tff等。D触发器常来构建寄存器。本次实验我们用Quartus II中提供的8为D触发器模块,实现了一个8×8bits 的寄存器组,因此,操作地址均为3位,数据均为8位。由于要求读写端口分离,因此,读操作的相关引脚有地址raddr[2..0]、数据输出q[7..0],写操作的相关引脚有地址waddr[2..0]、数据输入data[7..0]、写使能wen。其中,省略读使能信号可以简化控制,即数据输出不受限制。 寄存器文件通过写地址waddr[2..0]、写使能wen信号来实现触发器的写入控制,通过读地址raddr[2..0]信号来控制触发器的数据输出选择。其连接电路原理如图所示。 寄存器文件的组成 则由此,可在Quartus II中连接原理图:

四、实验结果 仿真波形如下: 五、小结 通过此次实验,我们学会了Quartus II的原理图的构造方法,以及仿真方法,并且使用lpm_dff作为三态门,控制数据的输入,并且在输出时,用lpm_mux选择每个寄存器的数据输出。 最后,在本次实验中,我们重新巩固了课堂学习的内容,也对寄存器加深了了解,相信我们会通过实验在计组的学习道路上越走越远。 实验二运算器组成实验 一、实验目的 (1)熟悉加/减法器的功能及使用方法。 (2)掌握算术逻辑部件(ALU)的功能及其逻辑组成。 (3)加深对运算器工作原理的理解。 二、实验内容 (1)验证Quartus II所提供加/减法器的功能及使用方法。

计算机组成原理实验报告

实验一:脱机运算器实验 实验目的:了解AM2901运算器的功能与用法,2片AM2901的级连方式,深化运算器部件的组成、设计、控制与使用等知识。 实验仪器:TEC-2000实验仪 实验原理:脱机运算器实验,是让运算器从教学计算机整机中脱离出来,此时它的全部控制与操作均需通过两个12位的微型开关来完成,这就不能执行指令,只能通过开头、按键控制教学机的运算器完成指定的运算功能,并通过指示灯观察运算结果。 实验内容:1、将教学机左下方的5个拨动开关置为1XX10(单步、8位、脱机);先按RESET 按键,再按START按键,进行初始化。 2、按下表所列操作在8位机上进行运算器脱机实验,结果如表所示。其中D1取为01H,D2取为10H;通过两个12位的红色微型开关向运算器提供控制倍,通过8位数据开关向运算器提供数据(高8位的数据开 结果分析:由结果可知,只要按AM2901芯片功能给出其相应的控制信号,即可完成相应的功能。另AM2901操作周期如下: A、B口数据锁存通用寄存器接收 即在下降沿时,A、B口数据锁存器锁存数据,在低电平时通用寄存器接收数据,因此在压START前,ALU输出为结果,压START后,产生高电平到低电平的变化,此时ALU输出的结果存入通用寄存器中,而ALU则输出操作再次被执行的结果,但该结果没有存入通用寄存器中,则下次操作时使用的寄存器值为存入值(表中表现为压START前值)。 实验结论:通过此项实验使我们了解了AM2901运算器的功能与用法,熟悉了2片AM2901的级连方式,以及深化运算器部件的组成、设计、控制与使用等知识,让我们加深了对AM2901运算器各项特性的认知程度。 实验二:控制器部件教学实验 实验目的:通过教学计算机中已经设计好并正常运行的几条典型指令的功能、格式和执行流程后,设计几条指令的功能、格式和执行流程,并在教学计算机上实现、调试正确。达到以下目的:

计算机组成原理实验报告

成绩:计算机原理实验室实验报告 课程: 姓名: 专业: 学号: 日期: 太原工业学院 计算机工程系

实验一:运算器实验 实验环境ISIS 7 Professional 实验日期 一.实验内容 1.熟悉proteus仿真系统 2.设计并验证4位算数逻辑单元的功能 3.实现输入输出锁存 4.实现8位算数逻辑单元 二.理论分析或算法分析 74181能执行16种算术运算和16种逻辑运算。 其中A3-A0,B3-B0是两个操作数,F3-F0是输出结果,Cn表示最低位的外来进位,M 表示工作方式。 利用74181的算术和逻辑功能实现运算器。

三.实现方法(含实现思路、程序流程图、实验电路图和源程序列表等) 选用74181芯片进行运算器实验,利用三组,每组四个单刀双掷开关对电路状态转换进行控制。通过S3~S0的不同取值实现不同的操作,M的值用来区别算术还是逻辑运算,Cn表示是否有进位。利用LED灯直观的展现实验结果其中前两个LED灯用来显示操作数,后一个LED灯用来做输出结果。

四.实验结果分析(含执行结果验证、输出显示信息、图形、调试过程中所遇的问题及处理方法等)正逻辑:逻辑运算M=1, 正逻辑:算术运算M=0,Cn=1(无进位) 正逻辑:算术运算M=0,Cn=0(有进位)

验证了基本要求,实现了74181的算术/逻辑运算功能,通过对工作开关选择工作状态,改变工作方式开关,使电路是处于逻辑操作或算术操作。通过改变进位开关工作状态,改变电路是否有进位。 以工作选择状态为0000为例,当M=1时,电路处于算术运算状态,运算结果为F=A,而A为0000,即F=1111。当M=0,Cn=1时,运算结果为F=A,而A为0000,即F=A=0;当Cn=0时,运算结果为F=A加1,而A为0000,则A加1的结果即为F=A加1=1,如上图所示。 五.结论 完成了本次实验要求的熟悉proteus仿真系统,设计并验证4位算数逻辑单元的功能的实验内容。学会了如何用74181芯片执行16种算术运算和16种逻辑运算,通过改变操作数和开关工作状态,实现不同的运算组合和功能的实现。 在完成运算器实验的过程中,让我熟悉了74181的功能,并且懂得了运算器的实验原理,了解该软件的应用的同时,增强了自己对相关运算的掌握。

计算机组成原理实验报告册

实验一监控程序与汇编实验 实验时间:第周礼拜年月日节实验室:实验台: (以上部分由学生填写,若有遗漏,结果由学生自己自负) 1、实验目的 1)认识教课计算机的指令格式、指令编码、选择的寻址方式和详细功能。 2)认识汇编语言的语句与机器语言的指令之间的对应关系,学惯用汇编语言设计程序的过程和方法。 3)学习教课机监控程序的功能、监控命令的使用方法,领会软件系统在计算机构成中的地位和作用。 2、实验平台 硬件平台:清华大学TEC-XP 实验箱的MACH 部分 软件平台:监控程序、 PC 端指令集仿真软件 3、实验要求 1)学习联机使用TEC-XP教课实验系统和仿真终端软件; 2)使用监控程序的R 命令显示 /改正存放器内容、 D 命令显示储存器内容、 E 命令改正储存器内容; 3)使用 A 命令写一小段汇编程序,使用U 命令察看汇编码与机器码之间的关系,用 G 命令连续运转该程序,用 T 命令单步运转并察看程序单步履行状况。 **代码不得写到 0000—— 1FFF 的地点单元中,若有违犯将被撤消当堂成绩 4、操作步骤及实验内容 1)实验箱功能开关设置及联机操作: 1.将实验箱 COM1 口与 PC 机相连; 2.设置功能状态开关为 00110; 3.于 PC 端运转; 4. 按 RESET ,START 键,若 PC 端出现以下输出(如图1.1 所示),则操作成功; 图 2)仿真软件有关操作:

1.在项目文件夹找到 tec2ksim.exe 并启动; 图 2.点击文件 -启动监控程序; 图 4.若 PC 端出现以下输出(如图 2.3 所示),则操作成功;

图 3)理解以下监控命令功能: A、 U、 G、 R、E、 D、 T 1. A 命令:达成指令汇编操作,把产生的指令代码放入对应的内存单元中,可连 续输入。不输入指令直接回车,则结束 A 命令(如图 3.1 所示); 图 2.U 命令:从相应的地点反汇编 15 条指令,并将结果显示在终端屏幕上(如图所示); 图

计算机组成原理实验报告心得体会

计算机组成原理实验报告心得体会在大学计算机科学专业中,计算机组成原理是必修的一门课程。在这一门课程中,我们学习了计算机的硬件结构、工作原理、指 令系统等方面的知识。同时,在这门课程中,我们必须进行一系 列的实验课程。这些实验课程旨在让我们更深入地理解计算机的 原理,同时也让我们在实践中更好地掌握知识。在这篇文章中, 我将分享我的实验报告心得体会。 1. 实验一:逻辑门电路实验 在逻辑门电路实验中,我们使用电子元器件来构建各种逻辑门 电路,如与门、或门、非门等。通过这个实验,我深刻理解到计 算机中的最基本的原理就是通过大量的门电路协同工作来完成各 种复杂的运算。我们也学习了使用电子仿真软件来设计和模拟逻 辑电路。这个实验让我意识到,计算机本质上是基于电子电路实 现的,可以通过不断地组合逻辑门电路来实现各种不同的功能。 2. 实验二:组合逻辑电路实验

在组合逻辑电路实验中,我们学习了如何将多个逻辑门电路组合成一个完整的电路。我们通过使用 Verilog 语言设计电路,并使用 FPGA 开发板进行实现。这个实验让我学会了使用 Verilog 语言来描述电路,并且熟悉了 FPGA 开发板的使用。这个实验也让我深刻体会到,计算机中的每一个复杂的组件都是由多个简单的逻辑门电路组合而成的。 3. 实验三:时序逻辑设计实验 时序逻辑是组合逻辑的延伸,它包含了时钟信号,需要考虑到时序逻辑的执行时间。时序逻辑设计实验是我们在计算机组成原理课程中的一个重要的实验,它让我更进一步地理解了计算机系统中的时序逻辑,了解了时钟信号的重要性。在这个实验中,我也学习了如何使用状态图来表示时序逻辑,并且熟悉了使用Verilog 语言进行时序逻辑设计的方法。 4. 实验四:CPU 设计实验 在 CPU 设计实验中,我们使用 Verilog 语言设计了一个简单的CPU,包括 CPU 核心、控制器、存储器、总线等。这个实验让我深刻理解了计算机结构中的 CPU 设计原理,学会了如何将组合逻

计算机组成原理 实验报告

计算机组成原理实验报告 计算机组成原理实验报告 引言: 计算机组成原理是计算机科学与技术专业的重要课程,通过学习该课程,我们 可以深入了解计算机的工作原理和内部结构。本次实验旨在通过实际操作,加 深对计算机组成原理的理解,并掌握一些基本的计算机硬件知识。 实验目的: 1. 理解计算机的基本组成部分,包括中央处理器(CPU)、存储器、输入输出设 备等; 2. 掌握计算机的运行原理,了解指令的执行过程; 3. 学习使用计算机组成原理实验箱,进行实际的硬件连接和操作。 实验过程: 1. 实验一:组装计算机 本次实验中,我们需要从零开始组装一台计算机。首先,我们按照实验指导 书的要求,选择合适的硬件组件,包括主板、CPU、内存、硬盘等。然后,我 们将这些硬件组件逐一安装到计算机箱中,并连接好电源线、数据线等。最后,我们将显示器、键盘、鼠标等外设连接到计算机上。 2. 实验二:安装操作系统 在计算机组装完成后,我们需要安装操作系统。本次实验中,我们选择了Windows 10作为操作系统。首先,我们将Windows 10安装盘插入计算机的光 驱中,并重启计算机。然后,按照安装向导的指引,选择安装语言、时区等相 关设置。最后,我们根据自己的需求选择安装方式,并等待操作系统安装完成。

3. 实验三:编写并执行简单的汇编程序 在计算机组装和操作系统安装完成后,我们需要进行一些简单的编程实验。 本次实验中,我们选择了汇编语言作为编程工具。首先,我们编写了一个简单 的汇编程序,实现两个数相加的功能。然后,我们使用汇编器将程序翻译成机 器码,并将其加载到计算机的内存中。最后,我们通过调试器来执行这个程序,并观察程序的执行结果。 实验结果与分析: 通过本次实验,我们成功地组装了一台计算机,并安装了操作系统。在编写并 执行汇编程序的实验中,我们也成功地实现了两个数相加的功能。通过观察程 序的执行结果,我们发现计算机能够按照指令的顺序逐条执行,并得到正确的 结果。这进一步加深了我们对计算机的工作原理的理解。 实验总结: 通过本次实验,我们深入学习了计算机组成原理,并通过实际操作加深了对计 算机硬件和软件的理解。通过组装计算机和安装操作系统的实验,我们掌握了 计算机的基本组成部分和运行原理。通过编写并执行汇编程序的实验,我们进 一步了解了计算机的指令执行过程。通过这些实验,我们对计算机组成原理有 了更深入的认识,并且提升了我们的实际操作能力。 未来展望: 计算机组成原理是计算机科学与技术专业的基础课程,对我们的专业发展具有 重要意义。通过这次实验,我们不仅掌握了一些基本的计算机硬件知识,还提 高了我们的实际操作能力。在今后的学习和工作中,我们将继续深入学习计算 机组成原理,并将其应用到实际项目中,为计算机科学与技术的发展做出贡献。

相关主题
文本预览
相关文档 最新文档