当前位置:文档之家› 数字电子电路综合实验报告书

数字电子电路综合实验报告书

数字电子电路综合实验报告书
数字电子电路综合实验报告书

数字电子电路综合实验报告书

简易电子速度表

课设组号:15组

学号:05020204

姓名:王树祺

2007年5月

一、简易电子速度表设计综述

如今,随着科学技术的发展,电子技术在日常生活和社会生活中已得到了广泛的应用。特别是微电子技术的飞跃发展,更为设计、直观和性能优越、功能全的装置创造了良好的条件。可以说,电子技术的应用水平是现代化进程的一个重要标准。

目前,数字电子技术已经广泛地应用于计算机、电子测量仪表、通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟仪表精度高,而且容易实现测量的自动化和智能化。随着科学技术的迅速发展,要求我们理论联系实际,数字电路综合实验设计的安排使我们拥有了一个难得机会,通过它我们可以在实际运用知识的同时得到更好的锻炼。在日常生活中我们可以发现自行车、摩托车、电动车已经成为人们必不可少的工具,所以简易速度表的设计可以给人们带来更多的方便和安全,并且有一定的使用价值。

二、设计任务与要求

1、设计任务

根据车轮周长、辐条数和车轮转数等设计参数设计、调试并完成一个简易车用速度表。

2、设计要求

(1)显示数字为三位,精度为0.1公里,即(00.0~99.9公里)。

(2)数码管要有小数点显示,即个位与十位之间的小数点要亮起来。

(3)表明你所设计的条件(轮周长、条幅数等),给出根据不同车型进行调整的根据。

(4)要求有根据不同车型随时调整的功能,以保证速度表显示的正确性。

(5)结构简单,所用器件少,便于调整,成本低。

三、参考元件与使用元件清单

1、参考元件

CD40106、NE555、CD4553、CD4543、CD4511、LG5631AX共阴数码管、三极管(NPN、PNP)、红外光电传感器、电位器、电阻、电容等。

2、使用元件清单

CD40106 一片

NE555 一片

CD4553 一片

CD4511 一片

LG5631AX共阴数码管一个

三极管(NPN、PNP)9014*1、9012*3

红外光电传感器一个

电位器一个

电阻、电容若干

四、设计思路与方案

1、设计思路

通过测量在单位时间内通过红外光电传感器的轮辐数,折算出车轮走过的距离,即每秒通过多少根条幅等于1公里/小时的速度。时速值按十进制由三位数码管显示。

换算公式如下:

前提条件:两根辐条间的轮周长为2cm

(1)1公里/小时=27.8cm/s 相当于(27.8cm/2cm)根条/s 即:13.9根条/s (2)由于显示最小精度为0.1公里/小时,所以要以0.1公里/小时为计算标准,用以折合其它速度对应在每秒的条幅数:

0.1公里/小时=2.78cm/s 相当于(2.78cm/2cm)根条/s 即:1.39根条/s (3)1/(1.39根条/s)=1根条/0.719s, 则0.719s为两根辐条间的轮周长为2cm 的标准下的测条幅数的时间基准,用于统一换算与对应速度值。

2、设计方案

速度表方案一:

在自行车的前主撑架的两边离轴到轮钢圈的最远处分别安装光电式传感器接收和发射两元器件。当一根钢丝轮辐通过光电传感器元件就可以检测到脉冲,将脉冲数经过施密特触发器整形,或用反相器整形、555来整形后。将其换算好的速度脉冲单位时间常数的施密特触发器多谐振荡器,来控制用2片74HC161集成计数器和2片74LS48七段译码器组成的检测计数显示电路以来显示速度。

速度表方案二:

此电路由速度脉检测电路、计数译码显示电路和控制电路组成。工作原理是用前轮支撑脚的两侧,轮胎稍下光电传感器检测到脉冲经过整形后输入CD4553的时钟脉冲CLK端。用555电路组成的多谐振荡器,控制由3位BCD计数器CD4553和7段译码器CD4511及3位共阴极数码显示管组成的计数译码显示电路。共同组成速度表。

3、方案比较

方案一需要芯片较多,功能集成度较低,且在接线时容易出错,故不选择。

方案二使用芯片较少,功能集成度较高,各芯片执行功能相对独立,易于设计与组装,故选择方案二。

五、主要元件说明

1、CD40106:六施密特触发器

(1)管脚图:

(2)原理与功能:

CD40106是一个拥有六个施密特触发器的集成芯片,施密特触发器的最大用途在于整波,它可以把任何一个非标准方波整形为标准方波,且可以提升方波幅值至5V。可以当反向器来使用。

2、NE555:定时器(1)管脚图:

(2)原理与功能:

NE555是一个功能强大的定时器芯片,接发多种多样,可执行的功能也不尽相同。其主要功能有:施密特触发器、多谐振荡器、单稳态触发器等。本设计方案只涉及由NE555接成多谐振荡器,故只对多谐振荡器加以详细说明。

由以上电路可以在输出端得到一定占空比的方波。

占空比计算公式如下:

左图中:output输出波形即为由NE555多

谐振荡器产生的方波

3、CD4553:带锁存、复位功能的3位BCD计数器

(1)管脚图:

(2)原理与功能:

CD4553是集计数、锁存、复位功能为一身的3位BCD计数器,是实现动态扫描的关键,由它控制的BCD3位数码管能够实现动态显示,由于其输出端(管脚:2、1、15)分时依次输出低电平,且时间间隔很短,来控制数码管的显示与刷新,故人肉眼无法识别,只认为数码管是静态显示的。

No change:由于外界和自身输入信号不满足变化条件,输出信号不变。Advance:表示输出信号随输入端的信号而计数。

Latched:表示芯片工作,但输出信号由于锁存功能而不变,要注意其与No change 的区别。

以下是动态扫描控制DS1,DS2,DS3依次有效输出的波形关系:

以下是CD4553的设计原理图:

4、CD4511:BCD锁存、7段译码驱动器

(1)管脚图:

(2)原理与功能:

CD4511自身具有锁存功能(LE端,管脚:5),可以保持出信号在一定条件下不变。其最大功能是可以驱动共阴数码管。与CD4543不同之处在于,CD4511只能用来驱动共阴数码管,而CD4543既可以驱动共阴数码管,也可以驱动共阳数码管。本设计方案中没有用到其锁存功能,故LE端,管脚:5接地。

5、LG5631AX:3位7段共阴数码管

(1)管脚图:

三位共阴数码管,带小数点,自身无显示驱动,需要外接显示驱动。

六、技术难点分析与解决

1、如何将辐条计数信号与速度联系起来?

由于CD4553是DIS端(管脚:11)输入低电平时电路执行计数功能,所以要想将辐条计数信号与速度联系起来,则需要设定合理的计数时间,这个功能由NE555搭成的多谐振荡器来实现。低电平时间长度为0.719s(具体算法请见设计思路部分)。

其中的滑动变阻器用于调整输出信号占空比,使辐条计数信号与速度对应关系可调,以适应于不同轮子的记速要求。

2、如何在合适时刻产生复位信号?

复位信号应该是在CD4553 DIS端输入信号处于下降沿时产生一个占空比较小的高电平复位脉冲。我组原设想是用NE555搭建一个单稳态触发器,即由多谐振荡器产生的脉冲下降沿来触发单稳产生复位脉冲,但是仿真总无法通过,且仿真时两个NE555产生的信号总不能同时正常得到。后得知原因为:NE555无法搭成占空比很小的单稳触发器,故另求它法。想到了用电阻、电容和反向器搭建单稳触发器的方法,且反向器最好用CMOS芯片,因为CMOS功耗小,电压在其上损失较小。

3、如何实现数码管动态扫描显示,而肉眼却无法观察到?

我组初始设计的电路总能用肉眼看到数码管在扫描,原是以为由于送数时间较长造成的。后经指导老师指点和自己的思考发现:送数时间是很短的,只是我们没有很好地利用起CD4553的锁存功能造成的。

起初的电路图为:

NE555 3端输出直接CD4553的LE和DIS端,没有认真分析两者波形关系下的作用,忽略了CD4553锁存功能的使用方法。

后来改进的电路图为:

正如上图中所写的:多谐振荡器输出端:一段直接CD4553的DIS管脚,另一端经CD40106接CD4553的LE管脚上。完整示意图见下图。

由其产生的时间闸门、复位、锁存信号的关系为:

其中,复位信号与时间闸门信号关系如下:

(即:CD4553 DIS管脚与MR管脚的输入信号关系)

4、如何只点亮个位与十位之间的小数点,且保持“常亮”?

所谓“常亮”并不是说小数点总亮不灭,而是由于小数点亮灭速度太快,人的肉眼无法分辨出来而产生的错觉。

我组起初的小数点点亮总是有两位同时亮,无法做到只使某一位亮。当时CD4553与数码管的连接方式是:DS2(控制数码管十位)端直接数码管的3管脚(LP端,该端高电平有效,为小数电供电),产生的现象为百位的小数点亮,个位的小数点亮,唯独十位管子上的小数点不亮。起初根本无法搞懂这是为什么,但是经过偶然的一试,发现如果在DS2(控制数码管十位)端先接一个CD40106再接数码管的3管脚(LP端,该端高电平有效,为小数电供电),现象为只有十位管子上的小数点亮,就对上了,且数码管的亮度比以前更亮了。

观察上图可以发现:CD4553上的DS输出为低电平有效。我由此猜测出了使任意一位小数点工作的控制方法。

小数点亮的条件:

(1)数码管3号管脚保持在高电平状态。

(2)CD4553上的DS2输出为低电平时,数码管十位上所接的三极管导通,数码管十位处于点亮状态。

得到不同试验现象的原因:

起初不接CD40106:

DS2=0时,十位工作,但由于LP端=0,小数点不亮

DS2=1时,十位不工作,个位、百位依次为低电平一次,此时控制小数点的LP 端=1,所以在个位、百位依次工作时,小数点也就跟着亮了。

再加上快速的亮灭,好似百位、个位的小数点保持“常亮”。

之后接CD40106:

DS2=0时,十位工作,由于LP端=1,十位小数点亮

DS2=1时,十位不工作,个位、百位依次为低电平一次,此时控制小数点的LP 端=0,所以尽管个位、百位依次工作,但其相应小数点却不会亮。

再加上快速的亮灭,好似十位管子上的小数点保持“常亮”。

七、改进意见及收获体会

1、改进意见

由于时间仓促,完成实验已然很紧迫,没有再做扩展功能。但是,实际起初我已经向好了扩展的设想。就是在速度表的基础之上,追加超速报警功能,可以在数码管的某个管脚或者是计数装置上引出一根线接在发光二极管或蜂鸣器上,当超过某一限速时,引发报警装置的反应。本想法的具体实现方案还有待商讨与深思。

此外,数码管驱动芯片也可以选用CD4511,因为CD4511既可驱动共阳数码管,又可以驱动共阴数码管,使用起来比较灵活,兼容性较强。

2、收获体会

通过这次课设,我对数电的认识又更深了一步。使我对数电的感觉从以往的静态感向现在的现实感有着明显的转变。尽管在实验中遇到了不少困难,但是在指导老师的帮助和自己的努力下解决了困难又是十分让人振奋的!我从其中体验到了快乐,一种从忙碌到沮丧到丰收的快乐。我感觉自己成熟了,其中有对知识的感悟的,也有对小组伙伴的交流相处的。

最后,衷心感谢学校,感谢电工电子试验中心,感谢指导帮助过我的纪宝伦老师,真心希望我校的学生课设活动越办越好,让更多同学从中受益!

参考资料:

[1] 阎主编石,《数字电子技术基础》。北京:高等教育出版社,2005(12)

[2] 童诗白华成英,《模拟电子技术基础》。北京:高等教育出版社,2000(4)

[3] 黄智伟,《全国大学生电子设计竞赛训练教程》。北京:电子工业出版社,2005

[4] 潘松黄继业,《EDA技术实用教程》。北京:科学出版社,2002

[5] 彭介华,《电子技术课程设计指导》。北京:高等教育出版社,1997(4)

[6] 电子技术基础康华光主编北京:高等教育出版社

[7] 电子电路实验及应用课题设计卢结成编著中国科学技术大学出版社

[8] 全国大学生电子设计竟赛历年题析上海交通大学出版社

[9] 74系列集成电子元件手册

[10] 数字集成电路应用300例徐巧鱼主编

附录:主要器件的PDF文件和外扩电路选截

计数器编译码器应用举例

8 十挡互锁触摸开关

十挡互锁触摸开关电路见图8。F1、F2组成时钟脉冲振荡器。由于CD4017的端通过一10M电阻接高电平,故时钟被抑制。当用手触摸某一触片时,如果与其相连的输出端为高电平,则电路无任何反应;如果为低电平,则相当于将端接低电平,故CD4017开始计数,直至与触片相连的输出端输出高电平为止。由此形成触摸互锁。

9 三位半计数、显示电路

三位半计数、显示电路由CD4553、CD4511组成,如图9所示。该电路最高计数值为“1999”。译码器采用一片CD4511,显示部分使用了三只共阴极LED 数码管和两只扁方形LED。其中千位的“1”由两只扁方形LED组成。电路加电时,由于0 1μF电容的复位作用使“或非”门HF2输出低电平,两只LED均不亮;当电路计数值由“999”变为“000”时,CD4553的{14}脚输出一个正脉冲,此脉冲使HF1输出低电平、HF2输出变为高电平,故两只LED点亮,整个显示部分显示出“1000”字样,从而组成了三位半计数电路。此电路加上适当的输入接口,如光电转换、磁电转换、声电转换等,便可直接用于各种计数场合;如在时钟输入端加一单稳电路,便可用于人工手动计数。

10 六位计数显示电路

六位计数显示电路由CD4553、CD4543组成,见图10。最高计数值为

“999999”。两片CD4553级联使用,利用一组分时控制输出形成六位动态显示。两片CD4553的扫描振荡均取自一个芯片,由此形成只用一组三只晶体管对六位数码管的驱动。译码器采用两片CD4543,显示部分所用六位数码管是共阳极的。

11 系数变换电路

系数变换电路见图11。利用CD4553的一些控制输入端,可以组成一种“系数变换”电路。此电路可以将具有固定比例关系的一对“可测量”(ED)和“欲测量”(UD)中的“ED”变换成“UD”,并以数字方式予以显示。概而言之,这种“系数变换电路”实际上是一个数字乘法器兼计数显示电路。例如,我们要测量汽车的行驶速度(UD),可以通过测量推动轴的转速(ED)来间接得到。假设根据某汽车的缸数及齿轮比,已求出当推动轴转速为2200转/分时,车速为80km/小时,设推动轴每转一圈得到4个输入脉冲,这可以通过在推动轴上均匀设置四只小磁铁来得到,则每秒输入脉冲的个数为2200×4÷60=146.66个。我们的要求是将推动轴的转速最后转变成以“公里/小时”为单位的车速,即要完成当输入脉冲频率为146.66Hz时,数字显示正好为80这样一个线性变换。显然,只需在CD4553中建立一个合适的清零周期即“闸门时间”、再适时进行锁存和送数就行了。

图11a就是为执行“系数变换”而附加的一部分电路,其中译码显示部分同图9,故略去未画。IC1A组成多谐振荡器,产生计数时基闸门。IC1B用作缓冲放大。IC1C及其输入端的微分电路产生锁存脉冲。IC1D及其输入端的微分电路产生复位脉冲。电路各点波形如图11b所示。按如上介绍,当输入脉冲频率为146.66Hz时,数码显示应为80,则闸门时间应调定为80/146.66=0 545秒。它是通过细调R 来实现的。此电路的精度完全取决于闸门时间的准确度,如

电子技术实验报告—实验单级放大电路

电子技术实验报告 实验名称:单级放大电路系别: 班号: 实验者姓名: 学号: 实验日期: 实验报告完成日期:

目录 一、实验目的 (3) 二、实验仪器 (3) 三、实验原理 (3) (一)单级低频放大器的模型和性能 (3) (二)放大器参数及其测量方法 (5) 四、实验内容 (7) 1、搭接实验电路 (7) 2、静态工作点的测量和调试 (8) 3、基本放大器的电压放大倍数、输入电阻、输出电阻的测量 (9) 4、放大器上限、下限频率的测量 (10) 5、电流串联负反馈放大器参数测量 (11) 五、思考题 (11) 六、实验总结 (11)

一、实验目的 1.学会在面包板上搭接电路的方法; 2.学习放大电路的调试方法; 3.掌握放大电路的静态工作点、电压放大倍数、输出电阻和通频带测量方法; 4.研究负反馈对放大器性能的影响;了解射级输出器的基本性能; 5.了解静态工作点对输出波形的影响和负载对放大电路倍数的影响。 二、实验仪器 1.示波器1台 2.函数信号发生器1台 3. 直流稳压电源1台 4.数字万用表1台 5.多功能电路实验箱1台 6.交流毫伏表1台 三、实验原理 (一)单级低频放大器的模型和性能 1. 单级低频放大器的模型 单级低频放大器能将频率从几十Hz~几百kHz的低频信号进行不失真地放

大,是放大器中最基本的放大器,单级低频放大器根据性能不同科分为基本放大器和负反馈放大器。 从放大器的输出端取出信号电压(或电流)经过反馈网络得到反馈信号电压(或电流)送回放大器的输入端称为反馈。若反馈信号的极性与原输入信号的极性相反,则为负反馈。 根据输出端的取样信号(电压或电流)与送回输入端的连接方式(串联或并联)的不同,一般可分为四种反馈类型——电压串联反馈、电流串联反馈、电压并联反馈和电流并联反馈。负反馈是改变房卡器及其他电子系统特性的一种重要手段。负反馈使放大器的净输入信号减小,因此放大器的增益下降;同时改善了放大器的其他性能:提高了增益稳定性,展宽了通频带,减小了非线性失真,以及改变了放大器的输入阻抗和输出阻抗。负反馈对输入阻抗和输出阻抗的影响跟反馈类型有关。由于串联负反馈实在基本放大器的输入回路中串接了一个反馈电压,因而提高了输入阻抗,而并联负反馈是在输入回路上并联了一个反馈电流,从而降低了输入阻抗。凡是电压负反馈都有保持输出电压稳定的趋势,与此恒压相关的是输出阻抗减小;凡是电流负反馈都有保持输出电流稳定的趋势,与此恒流相关的是输出阻抗增大。 2.单级电流串联负反馈放大器与基本放大器的性能比较 电路图2是分压式偏置的共射级基本放大电路,它未引入交流负反馈。 电路图3是在图2的基础上,去掉射极旁路电容C e,这样就引入了电流串联负反馈。

数字逻辑实验报告。编码器

数字逻辑实验实验报告 脚分配、1)分析输入、输出,列出方程。根据方程和IP 核库判断需要使用的门电路以及个数。 2)创建新的工程,加载需要使用的IP 核。 3)创建BD 设计文件,添加你所需要的IP 核,进行端口设置和连线操作。 4)完成原理图设计后,生成顶层文件(Generate Output Products)和HDL 代码文件(Create HDL Wrapper)。 5)配置管脚约束(I/O PLANNING),为输入指定相应的拨码开关,为输出指定相应的led 灯显示。

6)综合、实现、生成bitstream。 7)仿真验证,依据真值表,在实验板验证试验结果。

实验报告说明 数字逻辑课程组 实验名称列入实验指导书相应的实验题目。 实验目的目的要明确,要抓住重点,可以从理论和实践两个方面考虑。可参考实验指导书的内容。在理论上,验证所学章节相关的真值表、逻辑表达式或逻辑图的实际应用,以使实验者获得深刻和系统的理解,在实践上,掌握使用软件平台及设计的技能技巧。一般需说明是验证型实验还是设计型实验,是创新型实验还是综合型实验。 实验环境实验用的软硬件环境(配置)。 实验内容(含电路原理图/Verilog程序、管脚分配、仿真结果等;扩展内容也列入本栏)这是实验报告极其重要的内容。这部分要写明经过哪几个步骤。可画出流程图,再配以相应的文字说明,这样既可以节省许多文字说明,又能使实验报告简明扼要,清楚明白。 实验结果分析数字逻辑的设计与实验结果的显示是否吻合,如出现异常,如何修正并得到正确的结果。 实验方案的缺陷及改进意见在实验过程中发现的问题,个人对问题的改进意见。 心得体会、问题讨论对本次实验的体会、思考和建议。

数字逻辑个性课实验报告

学生学号0121410870432实验成绩 学生实验报告书 实验课程名称逻辑与计算机设计基础 开课学院计算机科学与技术学院 指导教师姓名肖敏 学生姓名付天纯 学生专业班级物联网1403 2015--2016学年第一学期

译码器的设计与实现 【实验要求】: (1)理解译码器的工作原理,设计并实现n-2n译码器,要求能够正确地根据输入信号译码成输出信号。(2)要求实现2-4译码器、3-8译码器、4-16译码器、8-28译码器、16-216译码器、32-232译码器。 【实验目的】 (1)掌握译码器的工作原理; (2)掌握n-2n译码器的实现。 【实验环境】 ◆Basys3 FPGA开发板,69套。 ◆Vivado2014 集成开发环境。 ◆Verilog编程语言。 【实验步骤】 一·功能描述 输入由五个拨码开关控制,利用led灯输出32种显示 二·真值表

三·电路图和表达式

四·源代码 module decoder_5( input [4:0] a, output [15:0] d0 ); reg [15:0] d0; reg [15:0] d1; always @(a) begin case(a) 5'b00000 :{d1,d0}=32'b1000_0000_0000_0000_0000_0000_0000_0000; 5'b00001 :{d1,d0}=32'b0100_0000_0000_0000_0000_0000_0000_0000; 5'b00010 :{d1,d0}=32'b0010_0000_0000_0000_0000_0000_0000_0000; 5'b00011 :{d1,d0}=32'b0001_0000_0000_0000_0000_0000_0000_0000; 5'b00100 :{d1,d0}=32'b0000_1000_0000_0000_0000_0000_0000_0000; 5'b00101 :{d1,d0}=32'b0000_0100_0000_0000_0000_0000_0000_0000; 5'b00110 :{d1,d0}=32'b0000_0010_0000_0000_0000_0000_0000_0000; 5'b00111 :{d1,d0}=32'b0000_0001_0000_0000_0000_0000_0000_0000; 5'b01000 :{d1,d0}=32'b0000_0000_1000_0000_0000_0000_0000_0000; 5'b01001 :{d1,d0}=32'b0000_0000_0100_0000_0000_0000_0000_0000; 5'b01010 :{d1,d0}=32'b0000_0000_0010_0000_0000_0000_0000_0000; 5'b01011 :{d1,d0}=32'b0000_0000_0001_0000_0000_0000_0000_0000; 5'b01100 :{d1,d0}=32'b0000_0000_0000_1000_0000_0000_0000_0000; 5'b01101 :{d1,d0}=32'b0000_0000_0000_0100_0000_0000_0000_0000; 5'b01110 :{d1,d0}=32'b0000_0000_0000_0010_0000_0000_0000_0000; 5'b01111 :{d1,d0}=32'b0000_0000_0000_0001_0000_0000_0000_0000; 5'b10000 :{d1,d0}=32'b0000_0000_0000_0000_1000_0000_0000_0000; 5'b10001 :{d1,d0}=32'b0000_0000_0000_0000_0100_0000_0000_0000; 5'b10010 :{d1,d0}=32'b0000_0000_0000_0000_0010_0000_0000_0000; 5'b10011 :{d1,d0}=32'b0000_0000_0000_0000_0001_0000_0000_0000; 5'b10100 :{d1,d0}=32'b0000_0000_0000_0000_0000_1000_0000_0000; 5'b10101 :{d1,d0}=32'b0000_0000_0000_0000_0000_0100_0000_0000; 5'b10110 :{d1,d0}=32'b0000_0000_0000_0000_0000_0010_0000_0000; 5'b10111 :{d1,d0}=32'b0000_0000_0000_0000_0000_0001_0000_0000; 5'b11000 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_1000_0000; 5'b11001 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0100_0000; 5'b11010 :{d1,d0}=32'b0000_0000_0000_0000_0000_0000_0010_0000;

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

软件测试综合性实验报告(图书信息管理系统)

图书管信息管理系统测试分析报告1.软件项目介绍 1.1软件测试项目背景 根据各大学校希望能够充分利用现代科技来提高图书管理的效率,在原有的办公系统基础上进行扩展,将一些可以用计算机来管理的都进行计算机化,使得图书管理人员工作更加方便,工作效率也更加的高。 图书馆信息系统的系统结构如图1.1所示,系统的主要构成部分是图书管各业务处理的子系统。用于处理图书馆日常运营中的主要业务,包括编目子系统、图书采购子系统、图书流通子系统等,另外业务处理子系统能够正常运行还需要基础信息的维护和权限控制;业务处理子程序产生的数据还需要呈献给图书管理员和读者等,所以按性质图书管理系统可以分为业务处理、基础信息管理和信息查询三部分。 图1.1 图书馆信息管理系统的系统结构图

1.2图书流通子系统的介绍 图书流通子系统实现了对图书信息的有效管理,对图书的日常流通管理具有一定的辅助作用。图书馆流通子系统使图书管理工作规范化、系统化、程序化、避免图书管理的随意性,提高信息处理的速度和准确性,能够及时准确有效的查询和修改图书情况。 图书馆流通子系统是图书馆管理系统的一部分,主要管理图书的流动。图书馆流通子系统是提供给图书管理人员用来管理和维护数据记录。管理员能够对图书信息进行添加、查询、更改、删除以及对数据的维护;管理员需要通过密码进行登录,也可以修改密码。普通用户无需登录,可以使用查询功能等部分功能。 图书管理员对读者的借阅及还书要求进行操作,同时形成借书或还书报表给借阅者查看确认,还要对图书进行管理和维护。由于此系统大部分功能只是对管理员开放,所以管理员可以操作所有功能,图书管理员可以添加、查询、更改、删除、统计图书的基本信息,查询、统计图书馆的借阅信息。 图书馆流通子系统具体功能模块和界面如下: A.管理员登陆功能模块 首先利用管理员登录功能块,实现管理员登陆,管理员必须输入正确的密码才能进入主界面,如果管理员密码错误,应用程序会提示错误信息。 B.图书馆流通子系统界面 管理员进入系统界面完成以下功能,图书管理、图书借阅、用户管理和信息查询。

[整理]15数字逻辑实验指导书1

------------- 数字逻辑与数字系统实验指导书 青岛大学信息工程学院实验中心巨春民 2015年3月

------------- 实验报告要求 本课程实验报告要求用电子版。每位同学用自己的学号+班级+姓名建一个文件夹(如2014xxxxxxx计算机X班张三),再在其中以“实验x”作为子文件夹,子文件夹中包括WORD 文档实验报告(名称为“实验x实验报告”,格式为实验名称、实验目的、实验内容,实验内容中的电路图用Multisim中电路图复制粘贴)和实验中完成的各Multisim文件、VerilogHDL源文件、电路图和波形图(以其实验内容命名)。

实验一电子电路仿真方法与门电路实验 一、实验目的 1.熟悉电路仿真软件Multisim的安装与使用方法。 2.验证常用集成逻辑门电路的逻辑功能。 3.掌握各种门电路的逻辑符号。 4.了解集成电路的外引线排列及其使用方法。 5. 学会用Multisim设计子电路。 二、实验内容 1.用逻辑门电路库中的集成逻辑门电路分别验证二输入与门、或非门、异或门和反相器的逻辑功能,将验证结果填入表1.1中。 注:与门型号7408,或门7432,与非门7400,或非门7402,异或门7486,反相器7404. 2.用 L=ABCDEFGH,写出逻辑表达式,给出逻辑电路图,并验证逻辑功能填入表1.2中。 ()' 三、实验总结 四、心得与体会

实验二门电路基础 一、实验目的 1. 掌握CMOS反相器、与非门、或非门的构成与工作原理。 2. 熟悉CMOS传输门的使用方法。 3. 了解漏极开路的门电路使用方法。 二、实验内容 1. 用一个NMOS和一个PMOS构成一个CMOS反相器,实现Y=A’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-1。 表2-1 CMOS反相器逻辑功能表 2. 用2个NMOS和2个PMOS构成一个CMOS与非门,实现Y=(AB)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-2。 3. 用2个NMOS和2个PMOS构成一个CMOS或非门,实现Y=(A+B)’。给出电路图,分析其工作原理,测试其逻辑功能填入表2-3。 表2-3 CMOS或非门逻辑功能表 4. 用CMOS传输门和反相器构成异或门,实现Y=A B 。给出电路图,测试其逻辑功能填入表2-4。

华中科技大学计算机学院数字逻辑实验报告2(共四次)

数字逻辑实验报告(2) 姓名: 学号: 班级: 指导教师: 计算机科学与技术学院 20 年月日

数字逻辑实验报告(2)无符号数的乘法器设计

一、无符号数的乘法器设计 1、实验名称 无符号数的乘法器的设计。 2、实验目的 要求使用合适的逻辑电路的设计方法,通过工具软件logisim进行无符号数的乘法器的设计和验证,记录实验结果,验证设计是否达到要求。 通过无符号数的乘法器的设计、仿真、验证3个训练过程,使同学们掌握数字逻辑电路的设计、仿真、调试的方法。 3、实验所用设备 Logisim2.7.1软件一套。 4、实验内容 (1)四位乘法器设计 四位乘法器Mul4 4实现两个无符号的4位二进制数的乘法运算,其结构框图如图3-1所示。设被乘数为b(3:0),乘数为a(3:0),乘积需要8位二进制数表示,乘积为p(7:0)。 图3-1 四位乘法器结构框图 四位乘法器运算可以用4个相同的模块串接而成,其内部结构如图3-2所示。每个模块均包含一个加法器、一个2选1多路选择器和一个移位器shl。 图3-2中数据通路上的数据位宽都为8,确保两个4位二进制数的乘积不会发生溢出。shl是左移一位的操作,在这里可以不用逻辑器件来实现,而仅通过数据连线的改变(两个分线器错位相连接)就可实现。

a(0)a(1)a(2)a(3) 图3-2 四位乘法器内部结构 (2)32 4乘法器设计 32 4乘法器Mul32 4实现一个无符号的32位二进制数和一个无符号的4位二进制数的乘法运算,其结构框图如图3-3所示。设被乘数为b(31:0),乘数为a(3:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-3 32 4乘法器结构框图 在四位乘法器Mul4 4上进行改进,将数据通路上的数据位宽都改为32位,即可实现Mul32 4。 (3)32 32乘法器设计 32 32乘法器Mul32 32实现两个无符号的32位二进制数的乘法运算,其结构框图如图3-4所示。设被乘数为b(31:0),乘数为a(31:0),乘积也用32位二进制数表示,乘积为p(31:0)。这里,要求乘积p能用32位二进制数表示,且不会发生溢出。 图3-4 32 32乘法器结构框图 用32 4乘法器Mul32 4作为基本部件,实现32 32乘法器Mul32 32。 设被乘数为b(31:0)=(b31b30b29b28···b15b14b13b12···b4b3b2b1b0)2 乘数为a(31:0)=(a31a30a29a28···a15a14a13a12···a3a2a1a0)2 =(a31a30a29a28)2 228+···+ ( a15a14a13a12)2 212+···+ (a3a2a1a0)2 20

测试技术实验报告3-2017

测试技术实验报告3-2017

实验题目:《测试装置动态特性的测量》 实验报告 第 3 组姓名+学号: 胡孝义 2111701272 付青云 2111701146 黄飞 2111701306 黄光灿 2111701322 柯桂浩 2111701321 李婿 2111701346 邝祎程 2111701312 实验时间:2017年12月29日 实验班级: 实验教师:邹大鹏教授 成绩评定:_____ __ 教师签名:_____ __ 机电学院工程测试技术实验室 广东工业大学 广东工业大学实验报告

一、预习报告:(进入实验室之前完成) 1.实验目的与要求: 目的: 1).了解差动变压器式位移传感器的工作原理 2).掌握测试装置动态特性的测试 3).掌握m-k-c 二阶系统动态特性参数的影响因素 要求: 1).差动变压器式位移传感器的标定 2).弹簧振子二阶系统的阻尼比和固有频率的测量 2.初定设计方案: 根据测量出的弹簧振子欠阻尼二阶系统的阶跃响应曲线来求系统的动态特性:固有频率ωn 和阻尼比ξ。 实验时确定的设计方案: 先将质量振子偏离平衡,具有一定的初始位移,然后松开。该二阶系统在初始位移的作用下,产生一定的输出,位移传感器采集到系统的输出并传输给计算机,生成阶跃响应曲线。该输出是由初始状态引起的,可称之为零输入响应,也可看作是由初始位置到零的阶跃响应。 (1)求有阻尼固有频率ωd ωd =2π/T d (2)求阻尼比ξ 利用任意两个超调量M 和M 可求出其阻尼比,n 是该两个峰值相隔的某一整周期数。计算公式为 ξ=2222n 4n n πδδ+ (3)求无阻尼固有频率ωn 计算出有阻尼固有频率ωd ,阻尼比ξ之后,根据公式可求出系统的固有频率ωn ωd = 2 1ξ ω-d (4)求弹簧的刚度和振子组件的质量 振子组件主要由振子、滑杆、振子位置调节器、阻尼片、传感器连接杆等组成。

sqlserver数据库综合实验报告格式

华北科技学院计算机系综合性实验 实验报告 课程名称数据库原理与应用 实验学期 2009 至 2010 学年第一学期学生所在系部管理系 年级三年级专业班级商务B071班 学生姓名李荣妹学号 4121 任课教师郭红 实验成绩 计算机系制

《数据库原理与应用》课程综合性实验报告

(3)建表如下图: 图书表的结构 读者表的结构罚款表的结构 借阅表的结构密码表的结构 输入数据:图书 读者 S只学生,t指老师,1指没有超期,0表示超期 借阅 罚款

密码: (4)、创建视图。以sa的身份登录数据库,创建视图V1,V2,V3 create VIEW V1 create VIEW V2 create VIEW V3 AS AS AS select * from 图书 select * from 借阅 select * from 罚款 建立视图V5,查看在库的图书:create view V5 as select *from 图书 where 借阅状态=‘在库’ 建立已被借出去的图书视图 create view V6 as

select * from 图书 where 借阅状态='出库' 建立逾期未还的图书的学生视图: create view V7 as select * from 借阅 where datediff(day,convert(smalldatetime,借出日期),getdate())>’30’ and 借书证号 in(select 借书证号 from 读者,借阅 Where 借阅.借书证号=读者.借书证号 and 读者类别=‘s’ 四、物理设计和自定义完整性 建立索引:为了提高在表中搜索元组的速度,在实际实现的时候应该基于键码建立索引是表中建立索引的表项: 图书表(图书编号,条形码号)读者(借书证号)借阅(借书证号,条形码号)罚款表(借书证号,条形码号)密码(借书证号) (2)建立触发器 a.对已有借书证的读者进行查询借书是否超期(这里归定30天): create trigger T1 on 读者 for insert as select 借阅.借书证号,读者.读者姓名,图书.图书编号,图书.书名,借阅.借出日期 from 读者,借阅,图书 where 读者.借书证号=借阅.借书证号 and 图书.条形码号=借阅.条形码号 and 读者类别='s' and Datediff(day,convert(smalldatetime,借出日期),getdate())>=30 b.建立触发器T2(还书时): create trigger T2 on 借阅 for insert as begin update 图书 set 借阅状态='在库' where 条形码号=(select 条形码号 from inserted) update 借阅 set 归还日期=getdate(); update 读者 set 书数=书数-1 where 借书证号=(select 借书证号 from inserted) end c.建立触发器T3(借书书时): create trigger T3 on 借阅 for insert as begin update 图书 set 借阅状态='入库' where 条形码号=(select 条形码号 from inserted) update 借阅 set 借出日期=getdate(); update 读者 set 书数=书数+1 where 借书证号=(select 借书证号 from inserted) end d.建立触发器T4,实现超出借书数目时禁借(针对老师的): create trigger T4 on 读者 for insert

数字逻辑实验指导书(1)

实验一 实验箱及小规模集成电路的使用 一 实验目的 1 掌握实验箱的功能及使用方法 2 学会测试芯片的逻辑功能 二 实验仪器及芯片 1 实验箱 2 芯片:74LS00 二输入端四与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六非门 1片 三 实验内容 1 测试芯片74LS00和74LS86的逻辑功能并完成下列表格。 (1) 74LS00的14脚接+5V 电源,7脚接地;1、2、4、5、9、10、12、13脚接逻辑开关,3、6、8、11接发光二极管。(可以将1、4、9、12接到一个逻辑开关上,2、5、10、13接到一个逻辑开关上。)改变输入的状态,观察发光二极管。74LS86的接法74LS00基本一样。 表 74LS00的功能测试 表 74LS86的功能测试 (2)分析74LS00和74LS86的四个门是否都是完好的。 2 用74LS00和74LS04组成异或门,要求画出逻辑图,列出异或关系的真值表。 (3)利用74LS00和74LS04设计一个异或门。画出设计电路图。

实验二译码器和数据选择器 一实验目的 1继续熟悉实验箱的功能及使用方法 2掌握译码器和数据选择器的逻辑功能 二实验仪器及芯片 1 实验箱 2 芯片:74LS138 3线-8线译码器 1片 74LS151 八选一数据选择器 1片 74LS20 四输入与非门 1片 三实验内容 1 译码器功能测试(74LS138) 芯片管脚图如图所示,按照表连接电路,并完成表格。其中16脚接+5V,8脚接地,1~6脚都接逻辑开关,7、9、10、11、12、13、14、15接发光二极管。 表 2 数据选择器的测试(74LS151) 按照表连接电路,并完成表格。其中16脚接+5V,8脚接地;9、10、11,为地址输入端,接逻辑开关;4、3、2、1、12、13、14、15为8个数据输入端,接逻辑开关;G为选通输入端,Y为输出端,接发光二极管。

数字逻辑实验报告

. 武汉理工大学

2017 年月日 实验一:一位全加器 实验目的: 1. 掌握组合逻辑电路的设计方法; 2. 熟悉Vivado2014 集成开发环境和Verilog 编程语言; 3. 掌握1 位全加器电路的设计与实现。 试验工具: 1.Basys3 FPGA 开发板 2.Vivado2014 集成开发环境和Verilog 编程语言。 实验原理: Ci+A+B={Co,S} 全加器真表

全加器逻辑表达式 S=A○+B○+Ci Co=A.B+ (A○+B).Ci 全加器电路图 实验步骤: (一)新建工程: 1、打开 Vivado 2014.2 开发工具,可通过桌面快捷方式或开始菜单中 Xilinx Design Tools->Vivado 2014.2 下的 Vivado 2014.2 打开软件; 2、单击上述界面中 Create New Project 图标,弹出新建工程向导。 3、输入工程名称、选择工程存储路径,并勾选Create project subdirectory选项,为工程在指 定存储路径下建立独立的文件夹。设置完成后,点击Next。注意:工程名称和存储路径中不能出现中文和空格,建议工程名称以字母、数字、下划线来组成 4、选择RTL Project一项,并勾选Do not specify sources at this time,为了跳过在新建工 程的过程中添加设计源文件。 5、根据使用的FPGA开发平台,选择对应的FPGA目标器件。(在本手册中,以Xilinx大学计 划开发板Digilent Basys3 为例,FPGA 采用Artix-7 XC7A35T-1CPG236-C 的器件,即Family 和Subfamily 均为Artix-7,封装形式(Package)为CPG236,速度等级(Speed grade)为-1,温度等级(Temp Grade)为C)。点击Next。 6、确认相关信息与设计所用的的FPGA 器件信息是否一致,一致请点击Finish,不一致,请返 回上一步修改。 7、得到如下的空白Vivado 工程界面,完成空白工程新建。

实验报告实验心得

实验心得体会 在做测试技术的实验前,我以为不会难做,就像以前做物理实验一样,做完实验,然后两下 子就将实验报告做完.直到做完测试实验时,我才知道其实并不容易做,但学到的知识与难度 成正比,使我受益匪浅. 在做实验前,一定要将课本上的知识吃透,因为这是做实验的基础,否则,在老师讲解时就 会听不懂,这将使你在做实验时的难度加大,浪费做实验的宝贵时间.比如做光伏的实验,你要 清楚光伏的各种接法,如果你不清楚,在做实验时才去摸索,这将使你极大地浪费时间,使你事 倍功半.做实验时,一定要亲力亲为,务必要将每个步骤,每个细节弄清楚,弄明白,实验后,还 要复习,思考,这样,你的印象才深刻,记得才牢固,否则,过后不久你就会忘得一干二净,这还 不如不做.做实验时,老师还会根据自己的亲身体会,将一些课本上没有的知识教给我们,拓宽 我们的眼界,使我们认识到这门课程在生活中的应用是那么的广泛. 通过这次测试技术的实验,使我学到了不少实用的知识,更重要的是,做实验的过程,思考 问题的方法,这与做其他的实验是通用的,真正使我们受益匪浅. 实验心得体会 这个学期我们学习了测试技术这门课程,它是一门综合应用相关课程的知识和内容来解 决科研、生产、国防建设乃至人类生活所面临的测试问题的课程。测试技术是测量和实验的 技术,涉及到测试方法的分类和选择,传感器的选择、标定、安装及信号获取,信号调理、 变换、信号分析和特征识别、诊断等,涉及到测试系统静动态性能、测试动力学方面的考虑 和自动化程度的提高,涉及到计算机技术基础和基于labview的虚拟测试技术的运用等。 课程知识的实用性很强,因此实验就显得非常重要,我们做了金属箔式应变片:单臂、 半桥、全桥比较, 回转机构振动测量及谱分析, 悬臂梁一阶固有频率及阻尼系数测试三个实 验。刚开始做实验的时候,由于自己的理论知识基础不好,在实验过程遇到了许多的难题, 也使我感到理论知识的重要性。但是我并没有气垒,在实验中发现问题,自己看书,独立思 考,最终解决问题,从而也就加深我对课本理论知识的理解,达到了“双赢”的效果。 实验中我学会了单臂单桥、半桥、全桥的性能的验证;用振动测试的方法,识别一小阻 尼结构的(悬臂梁)一阶固有频率和阻尼系数;掌握压电加速度传感器的性能与使用方法; 了解并掌握机械振动信号测量的基本方法;掌握测试信号的频率域分析方法;还有了解虚拟 仪器的使用方法等等。实验过程中培养了我在实践中研究问题,分析问题和解决问 题的能力以及培养了良好的工程素质和科学道德,例如团队精神、交流能力、独立思考、 测试前沿信息的捕获能力等;提高了自己动手能力,培养理论联系实际的作风,增强创新意 识。 实验体会 这次的实验一共做了三个,包括:金属箔式应变片:单臂、半桥、全桥比较;回转机构 振动测量及谱分析;悬臂梁一阶固有频率及阻尼系数测试。各有特点。 通过这次实验,我大开眼界,因为这次实验特别是回转机构振动测量及谱分析和悬臂梁 一阶固有频率及阻尼系数测试,需要用软件编程,并且用电脑显示输出。可以说是半自动化。 因此在实验过程中我受易非浅:它让我深刻体会到实验前的理论知识准备,也就是要事前了 解将要做的实验的有关质料,如:实验要求,实验内容,实验步骤,最重要的是要记录什么 数据和怎样做数据处理,等等。虽然做实验时,指导老师会讲解一下实验步骤和怎样记录数 据,但是如果自己没有一些基础知识,那时是很难作得下去的,惟有胡乱按老师指使做,其 实自己也不知道做什么。 在这次实验中,我学到很多东西,加强了我的动手能力,并且培养了我的独立思考能力。 特别是在做实验报告时,因为在做数据处理时出现很多问题,如果不解决的话,将会很难的 继续下去。例如:数据处理时,遇到要进行数据获取,这就要求懂得labview软件一些基本

信息资源综合性实验报告

《信息资源管理》综合性实验题目:高校图书馆信息资源管理现状研究姓名+学号: 学期: 指导老师:

1 研究思路(课题实施原理图) 2 调研与分析 图书馆信息资源管理内容体系 ①应用系统 ②IT技术 ③人力资源管理 ④组织结构 ⑤信息服务 信息采集对象与方法 2.2.1 信息采集对象 ①学生 ②图书馆管理人员

2.2.2 信息采集方法 ①问卷调查 ②访谈 结果与分析(以简洁明快的信息表达方式来表述) 该图书馆在人员分配上有馆长和副馆长以及其底下有8个部门,各部门功能不同,协调工作,合理的人力资源管理让图书馆的工作效率、工作气氛都大大增加;图书馆使用了基于RIFD技术和设备的图书管理系统,实现图书借还,顺架,查找,馆藏盘点等功能,使图书管理工作智能化,规范化,系统化,程序化,避免图书管理的随意性,提高信息处理的速度和准确性,从而提高工作效率,给图书馆管理人员以及学生带来了极大的便利;同学们对图书馆所提供的各种服务基本满意,很多人都原意到图书馆去学习;但是有些同学觉得检索系统不健全,查找不方便,操作复杂,不容易掌握,在搜索时找到该书,但在实际查找中却找不到该书,所以这方面有待改善。 3 完善方法(或思路) 针对在问卷调查中所出现的问题:同学们在系统上查到书架上有该书,但在实际查找中却找不到该书。我们小组提出以下完善方法: 图书馆必须成立一个小组,该小组主要负责查看系统上显示存在的且未借出去的书及数量而书架上有该书且数量与之相符。 4 小结(方案有什么特色与不足) 该图书馆的方案特色:对于图书馆工作人员,简单繁琐工作大大减少,多余时间提高更高层次服务;对于读者,避免排队等候,更方便更快捷,更长的图书馆开放时间,隐私性,选择性和独立性;提高管理效率,人力资源的合理配置,低层次服务转变为高层次服务;简化人工作业,节约时间和成本,馆藏更精确,管理更轻松;提高服务质量,减轻劳动强度,更文明,更人性化的服务环境;更多样,更个性化的定制服务;自主借还,享受和谐高科技服务体验; 该图书馆的不足:检索系统不健全,查找不方便,操作复杂,不容易掌握,在搜索时找到该书,但在实际查找中却找不到该书。 附件1:实验任务书

数字逻辑实验指导书

《数字逻辑实验指导书》 实验一组合逻辑电路分析与设计 一、实验目的: 1、掌握PLD实验箱的结构和使用; 2、学习QuartusⅡ软件的基本操作; 3、掌握数字电路逻辑功能测试方法; 4、掌握实验的基本过程和实验报告的编写。 二、原理说明: 组合电路的特点是任何时刻的输出信号仅取决于该时刻的输入信号,而与信号作用前电路的状态无关。 (一)组合电路的分析步骤: (二)组合逻辑电路的设计步骤 首先根据给定的实际问题进行逻辑抽象,确定输入、输出变量,并进行状态赋值,再根据给定的因果关系,列出逻辑真值表。然后用公式法或卡诺图法化简逻辑函数式,以得到最简表达式。最后根据给定的器件画出逻辑图。 三、实验内容 (一)组合逻辑电路分析: 1.写出函数式,画出真值表; 2.在QuartusⅡ环境下用原理图输入方式画出原理图,并完成波形仿真; 3.将电路设计下载到实验箱并进行功能验证,说明其逻辑功能。(必做)

(二)组合逻辑电路设计 1.设计一个路灯的控制电路,要求在四个不同的路口都能独立地控制路灯的亮灭。(用异或门实现) 画出真值表,写出函数式,画出实验逻辑电路图。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(必做) 要求:用四个按键开关作为四个输入变量;用一个LED彩灯(发光二极管)来显示输出的状态,“灯亮”表示输出为“高电平”,“灯灭”表示输出为“低电平”。 2.设计一个保密锁电路,保密锁上有三个键钮A、B、C。要求当三个键钮同时按下时,或A、B两 个同时按下时,或按下A、B中的任一键钮时,锁就能被打开;而当不符合上列组合状态时,将使电铃发出报警响声。试设计此电路,列出真值表,写出函数式,画出最简的实验电路。(用最少的与非门实现)。在QuartusⅡ环境下实现设计,完成对波形的仿真,并将设计下载到实验箱并进行功能验证。(选做) (注:取A、B、C三个键钮状态为输入变量,开锁信号和报警信号为输出变量,分别用F1用F2表示。设键钮按下时为“1”,不按时为“0”;报警时为“1”,不报警时为“0”,A、B、C都不按时,应不开锁也不报警。) 三、予习要求: 1.复习组合电路的分析方法和设计方法。 2.预习利用QuartusⅡ和可编程器件(PLD)进行数字电路设计的基本设计方法。 3.画出实验用电路图和记录表格,填好理论值,注明管脚号码。 四、报告要求: 1.实验目的和要求 2.实验主要仪器和设备 3.实验原理 4.实验方案设计、实验方法 5.实验步骤

华中科技大学数字逻辑实验报告

华中科技大学数字逻辑实验报告 姓名: 专业班级: 学号: 指导老师: 完成时间:

实验一:组合逻辑电路的设计 一、实验目的: 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能 3.学会二进制的运算规律。 二、实验所用组件: 二输入四与门74LS08,二输入四与非门74LS00,二输入四异或门74LS86,六门反向器74LS04芯片,三输入三与非门74L10,电线若干。 三、实验内容: 内容A:全加全减器。 实验要求: 一位全加/全减法器,如图所示: 电路做加法还是做减法运算是由M决定的,当M=0做加法,M=1做减法。当作为全加法起时输入A.B和Cin分别为加数,被加数和低位来的进位,S和数,Co位向上位的进位。当作为全减法器时输入信号A,B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上的借位。 实验步骤: 1.根据功能写出输入/输出观察表:

2. 3.做出卡诺图,确定输出和激励的函数表达式:

4.根据逻辑表达式作出电路的平面图: 5.检查导线以及芯片是否完好无损坏,根据平面图和逻辑表达式连接电路。 实验结果: 电路连接好后,经检测成功实现了一位全加/全减法器的功能。 内容B:舍入与检测电路的设计: 试验要求: 用所给定的集合电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四

舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大宇或等于(5)10时,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如下所示: (1)按照所设计的电路图接线,注意将电路的输入端接试验台的开关,通过拨动开关输入8421代码,电路输入按至试验台显示灯。 (2)每输入一个代码后观察显示灯,并将结果记录在输入/输出观察表中。 实验步骤 1.按照所给定的实验要求填写出F1,F2理论上的真值表。 2.根据真值表给出F1和F2的卡诺图。

软件测试技术实验报告

软件测试技术实验报告本页仅作为文档页封面,使用时可以删除 This document is for reference only-rar21year.March

《软件测试技术》 实验报告 河北工业大学计算机科学与软件学院 2017年9月

软件说明 电话号码问题 某城市电话号码由三部分组成。它们的名称和内容分别是:地区码:空白或三位数字; 前缀:非'0'或'1'的三位数字; 后缀:4位数字。 流程图 源代码 import .*; import class PhoneNumber extends Frame implements ActionListener{ /**

* */ private static final long serialVersionUID = 1L; private final String[] st = {"Name","Local","Prefix","Suffix"}; static int c_person=0; TextField t_name,t_local,t_prefix,t_suffix; RecordDialog d_record; MessageDialog d_message; person a[]=new person[100]; public PhoneNumber() { super("电话号码"); (250,250); (300,240); Panel panel1 = new Panel(new GridLayout(4, 1)); for (int i = 0; i < ; i++) (new Label(st[i],0)); Panel panel2 = new Panel(new GridLayout(4, 1)); t_name =new TextField("",20); t_local =new TextField(""); t_prefix=new TextField(""); t_suffix=new TextField(""); (t_name); (t_local); (t_prefix);

相关主题
文本预览
相关文档 最新文档