当前位置:文档之家› 四人抢答器毕业设计报告

四人抢答器毕业设计报告

四人抢答器毕业设计报告
四人抢答器毕业设计报告

四人抢答器的设计

摘要

本设计借助于QuartusⅡ软件在计算机上仿真制作了四人抢答器。在抢答类竞赛中,通过选手按动按键的先后,判定由哪位选手回答问题,并且实现倒计时和对选手加减分的功能。

本设计分为四个模块分别设计,每个模块完成不同的功能,分别实现抢答器的不同功能,各个模块共同作用,以实现整个设计的总体功能。

然后在QuartusⅡ软件中通过波形仿真,检验该设计的具体功能与要求相一致,实现了四人抢答器的相关功能

关键词:QuartusⅡ抢答器仿真抢答器电路、倒计时电路、报警电路、时序控制

目录

前言 (1)

第1章绪论 (2)

1.1 抢答器设计要求 (2)

1.2 基本功能 ............................................... 错误!未定义书签。

1.3 扩展功能 ............................................... 错误!未定义书签。第2章单元电路. (3)

2.1 主控制模块 (3)

2.1.1 主要功能 (3)

2.1.2 电路图 (3)

2.1.3 电路图分析 ................................ 错误!未定义书签。

2.2 计分模块 (3)

2.2.1 主要功能.................................... 错误!未定义书签。

2.2.2 电路图 (5)

2.2.3 电路图分析 (6)

2.3 10s倒计时模块 (7)

2.3.1 主要功能 (7)

2.3.2 电路图 (7)

2.3.3 电路图分析 (7)

2.4 100s倒计时模块 (7)

2.4.1 主要功能 (7)

2.4.2 电路图 (8)

2.4.3 电路图分析 (8)

2.5 警示音模块 (9)

2.5.1 主要功能 (9)

2.5.2 电路图 (9)

2.5.3 电路图分析 (9)

第3章总体设计图 (10)

3.1 抢答器总设计图 (10)

3.2 抢答器工作原理图 (11)

结论 (12)

谢辞 (15)

参考文献 (15)

前言

现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。

对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。

本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力、定时功能及复位功能。主持人通过控制开关使抢答器达到定时、复位的功能

第1章绪论

1.1抢答器设计要求

实现4人抢答时每人的分数累加,倒计时显示答题时间:

①每人设置一个抢答按钮。

②显示最快抢答选手的编号,并响铃。

③选手分数预置为50分,主持人控制加减分数,每次加减都是1分。

④提前抢答和超时抢答响铃,并显示选手编号。

1.2基本功能

1、可同时4名选手参赛,他们的编号分别为1、

2、

3、4,各用一个抢答按钮,按钮编号与选手编号相对应分别为S1、S2、S3、S4。

2、节目支持人设置一个控制开关,用来控制系统的清零和抢答

3、数字抢答器的应具有数码锁存、显示功能。抢答开始后,如果有选手抢答按钮,编号立即锁存,并在数码管上显示选手的编号,同时扬声器给出音响提示,封锁输入电路,禁止其他选手抢答。先抢答的选手编号一直保持到主持人将系统清零为止

1.3扩展功能

1、定时抢答器功能。抢答器定时为10秒,主持按下开始键后,定时器开始工作,开始倒计时,并在显示器上显示出来,同时扬声器发出声响。

2、参赛选手在设定时间内抢答(10s),抢答有效,定时器停止工作,显示器上显示的选手编号和抢答时间,并保持到主持人将系统清零为止。

3、当定时抢答时间已到,还没人抢答,本次抢答无效,系统报警,并封锁输入电路,禁止选手抢答,时间显示00

第2章单元电路

2.1主控制模块:

2.1.1主要功能

主控制电路的主要功能有两个:一是分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用:二是要使其他选手的按键操作无效。

2.1.2电路图:

图2-1-1 主控制部分电路图

图2-1-2 主控制部分电路图的封装图

2.1.3 电路图分析:

该电路有四个输入和三个输出:EN为使能端,只有当EN为1时,该电路才能正常工作,为0时输出保持EN端变化前的值不变;KCLR端为清零端,在新一轮抢答之前给它一段时间高电平,是输出选手号为0;START端为开始控制,当清零后,给该端口一个上升沿脉冲,“JISHI”端口变为高电平,控制10s计时器开始计时,选手就可以抢答了,否则选手按键无效;S[3..0]为四个选手的抢答端口,当有选手按下键时,q[3..0]端口输出选手的号码,sound置为高电平,控制声音输出。部分波形图如下:

图2-1-3 主控制部分电路图

2.2计分模块

2.2.1 主要功能

该部分电路主要是给选手加分或者减分,并将分数保持到下次变更以前,实现分数的累加、累减。

2.2.2 电路原理图

图2-2-1 计分部分电路图

图2-2-2 计分部分封装电路图

2.2.3 电路原理图分析

该部分电路主要实现对相应选手加分、减分。主要部分用两个74190组成了一个模100计数器,和其他器件共同实现了所要求的功能。

其中,REST是复位端口,比赛开始时,由主持人(点击按键即可)控制给其一段时间高电平,使四位选手的分数置为50。CHOSE端口是选手选择键,选择抢到答题机会的选手。DNUP键是加分、减分选择端口,和CLK 端口配合,实现对选手的加分、减分,当DUNP为0时,点击CLK键对所选选手加分,DUNP为1时,点击CLK键对所选选手减分。部分仿真波形图如下:

图2-2-3 计分部分波形图

2.3 10s 倒计时模块

2.3.1 主要功能:

主持人按下“START ”键时,10s 倒计时开始,当显示0s 时表示10s

内无人抢答,则保持0不变 ,并输出一个报警信号。 2.3.2 10s 计时部分电路图

VCC CLK

INPUT

VCC EN INPUT SOUND

OUTPUT

SHIMIAO[3..0]

OUTPUT

COUNTER

D C A B CLR

UP DN LDN QB BON CON QA QC QD 74192

inst VCC

AND3

inst8

GND

NOT

inst1

SHIMIAO0SHIMIAO1SHIMIAO2SHIMIAO3

图2-3-1 10s 计时部分电路图

EN CLK SHIMIAO[3..0]

SOUND

SHIMIAOJISHIQI

inst5

图2-2-2 10s 计时部分封装电路图

2.3.3 电路图分析

该部分由一个74192组成,实现10s 倒计时,当EN 为1时开始计时,

当显示0时,SOUND 输出高电平,控制声音电路发声。

2.4 100s 计时模块

2.4.1 主要功能

主有选手按下抢答键时,100s 倒计时开始,当显示00s 时表示100s

答题时间已到,则保持00不变 ,并输出一个报警信号。若在100s 内答题完毕,由主持人按下主控制电路的CLR 键, 计时器重置为99。

2.4.2 计时部分电路图:

VCC

CLK

INPUT VCC en INPUT L[3..0]

OUTPUT

H[3..0]

OUTPUT

SOUND

OUTPUT

COUNTER

D C B A CLR

UP DN LDN QB QA CON QD QC BON 74193

inst1G N D

N A N D 4

i n s t 6

COUNTER

D C B A CLR

UP DN LDN QB QA CON QD QC BON 74193

inst2G N D

N A N D 4

i n s t 8

AND2

inst9

A N D 2

i n s t 10

AND3

inst11

O R 2

i n s t 13

NOT

inst5

VCC

VCC

H0H1H2H3

L0L2L3

L1

图2-4-1 100s 计时部分电路图

en CLK L[3..0]SOUND

H[3..0]

jishiqi

inst1

图2-4-2 100s 计时部分封装电路图

2.4.3 电路图分析:

该部分电路主要是由两个74193计数器组成的模100计数器,其时钟

输入端通过一个与门与EN 端口共同配合,控制计时器计时的开始和复位,H 、L 端口分别显示时间的高位和地位,当计时器显示00时,表示答题时间已到,SOUND 端口输出高电平。其部分波形图如下:

图2-4-3 计时部分波形图

2.5 警示音模块

2.5.1 主要功能

输入信号0 1,只输出小于一个时钟周期的高电平。 2.5.2电路图

VCC in INPUT VCC

clk

INPUT sound

OUTPUT

CLRN D PRN Q DFF inst

XOR

inst1

AND2

inst3

VCC

图2-4-1 警示音部分电路图

in clk

sound sound inst3

图2-4-2 警示音部分封装电路图

2.5.3 电路图分析

clk 为脉冲信号输入端,当in 由低电平变为高电平后,在第一个时钟脉冲的上升沿时,sound 端口开始输出一个段半个时钟周期的高电平,其后又变为低电平,以驱动扬声器发出一声警示音。其部分电路图如下:

图2-4-3警示音部分波形图

第3章 总体设计图

3.1 抢答器总设计图

VCC

S[3..0]

INPUT VCC

CLK

INPUT VCC NOP

INPUT

VCC RESET INPUT VCC DNUP INPUT VCC START INPUT VCC CLR INPUT VCC EN INPUT A[7..0]

OUTPUT

B[7..0]OUTPUT C[7..0]OUTPUT

D[7..0]OUTPUT H[3..0]

OUTPUT

L[3..0]OUTPUT SOUND

OUTPUT

XUANSHOU[3..0]

OUTPUT

SHIMIAO[3..0]

OUTPUT

in clk sound sound

inst3

CHOSE[3..0]CLK

REST DNUP A[7..0]

B[7..0]C[7..0]D[7..0]jifenqi

inst

en CLK L[3..0]SOUND

H[3..0]jishiqi

inst1

EN CLK SHIMIAO[3..0]

SOUND

SHIMIAOJISHIQI

inst5

AND2

inst6

N O T

i n s t 7

OR3

inst8

EN KCLR START S[3..0]

q[3..0]SOUND JISHI zhukongzhiqi

inst2

图3-1-1抢答器总体设计图

DNUP RESET NOP EN CLR START S[3..0]CLK

D[7..0]C[7..0]B[7..0]A[7..0]

XUANSHOU[3..0]

L[3..0]H[3..0]SOUND SHIMIAO[3..0]

sirenqiangdaqi inst

图3-1-2抢答器总体设计封装图

3.2 抢答器工作原理

3.2.1 各个端口的含义:

输入输出EN 使能端,高电平有效A、B、C、D 选手得分输出CLR 选手号清零,高电平有效XUANSHOU 选手号输出START 抢答开始,高电平有效H、L 100s倒计时

S[3..0] 选手抢答按钮SOUND 声音输出

CLK 时钟脉冲SHIMIAO 10s倒计时REST 选手分数重置按钮

DNUP 加分、减分选择

NOP 加分、减分脉冲

表3-2-1 各端口的含义

3.2.2操作流程及相应波形图

首先,比赛开始时,由主持人按下EN键,然后按下CLR键和REST 键,分别使选手号为0和分数显示为50,此时选手按键不起作用。然后主持人按下START键,抢答开始,10s倒计时开始计时,当有选手抢答时,10s倒计时结束,重置为9,当没有选手抢答时,则计时器显示0时,停止,扬声器发出一个警示音。由主持人按下START键,置为9,开始下一轮抢答。当某个选手第一个按下抢答按钮时,100s倒计时器开始计时,当选手在规定时间内答题正确时,主持将DNUP键置0,然后按一下NOP键,给这位选手加一分,若答题错误或超出限定时间,则主持人将DNUP置1,然后按一下NOP,给这位选手减一分。另外,当超出答题时间时,将会输出一个高脉冲,使扬声器发出一个警示音。本轮结束后,由主持人按下START键,开始下一轮比赛。其工作波形图如下:

图3-2-1四号选手抢答加一分波形图

图3-2-2三号选手抢答减一分波形图

图3-2-3无选手抢答波形图

图3-2-4选手答题超时波形图

结论

这次的课程设计,让我受到了很大的挑战,从原来基础薄弱,通过对知识的理解,对器件的仿真论证,使我对一些器件的功能和使用有了很大的认识。

课设时分析了好多电路,设计了很多才选出想要的组合,通过仿真、实验,最终达到了设计的指标,实现了四人抢答,包括抢答部分,答题倒计时,主持人加减分等。由于本抢答器牵涉知识面广,再加上时间的仓促,水平的不足,系统中难免有漏洞和不足之处。例如没能在仿真时加上报警的声音控制,也没能很好的控制每个模块的始终端等。

下一阶段的主要工作:将以现有的结果为基础进一步综合完善,使其性能更好、控制准确度更高,而且进行实物焊接,制作出实物的抢答器元件。

谢辞

通过本次设计,我深刻体会到学术研究的严谨性、规范性,掌握了基本的研究方法,逐渐形成了较强的自主学习能力。

本设计是在邹红文老师精心指导下完成的。在设计过程中,从选题到查阅资料,论文提纲的确定,中期论文的修改,后期论文格式调整等各个环节都给予了精心指导;提出了许多宝贵意见,多次询问设计进程;付出了大量的时间和精力,在此表达真诚的谢意和衷心的感谢!邹老师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对我影响很大,并将积极影响我今后的学习和工作。

本设计的顺利完成,离不开各位老师、同学、朋友的支持、帮助。王静、朱文杰同学在整个设计过程中给予了鼓励和支持,大家多次进行设计难题的讨论与分析,这样为本设计的进一步完善提供了帮助。在此,向老师、同学表示感谢。最后还要感谢学院、系为我们提供了一个良好的学习氛围和人文环境,这对我们以后的学习、工作、生活具有重要影响。

参考文献

①专注

1 欧阳星明.数字逻辑.第四版.华中科技大学出版社欧阳星明2009

2 康光华电子技术基础模拟部分(第五版)高等教育出版2008

3 邹红文数字逻辑实验指导书.洛阳理工学院出版社邹宏文2011

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号:11387121 指导老师:田丽娜

四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。 简言之,有选手按下时,显示选手的编号。同时,其他人再按下时电路不做任何处理。也就是说,如果有选手按下以后,别的选手再按的话电路不会显示是他的编号。 4.可用555定时器产生频率为1H z的脉冲信号,作为触发器的CP信号。四.四人竞赛抢答器电路原理及设计

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

四人抢答器课程设计报告

电子技术课程设计 智力竞赛抢答器 姓名:郑亚林 班级:08级电子一班 学号:200800800461 指导老师:李素梅

四人智力竞赛抢答器 一、设计目的 1、掌握四人智力竞赛抢答器电路的设计。 2、熟悉数字集成电路的设计和使用方法。 3、掌握应用Multisim软件对电路的设计及仿真 二、设计任务与要求 1、设计任务 设计一个可供4名选手参加比赛的智力竞赛抢答器的数字电路。设定答题时间为30秒倒计时,时间到时,蜂鸣器会报警至主持人按下复位键。选手抢答时,数码显示选手组号,同时计分部分对应选手组别的发光二极管发光,在30秒内答对时主持人按下加分键,给相应的组别加一分。 2、设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为J1,J2,J3,J4。 (2)给主持人设置一个控制按钮J5,用来控制系统清零(抢答显示数码管灭灯)和一个加分按钮J6,用来给同学加分,设定最高分为9 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时30秒答题倒计时的功能。当选手按下抢答按钮后,开始倒计时,显示器显示倒计时间,倒计时结束时,扬声器响,保持到主持人将系统清零为止。 三、四人智力竞赛抢答器电路原理及设计 1、设计思路 抢答器整个系统可分为三个主要模块:抢答鉴别模块、计分模块、答题倒计时模块。即当抢答开始后,选手抢答按动按钮,锁存器锁存相应的选手编码,同时用数码管把选手的编码显示出来,对应加分模块的发光二极管也会发光。当有选手抢答成功后,30秒答题倒计时开始跑秒,若选手在规定的时间内答对题,主持人按下J6给相应的选手加一分并且按下J5,系统清零。 2、系统框图 抢答开始后,当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所

数字电子技术课程设计报告四人抢答器精修订

数字电子技术课程设计报告四人抢答器 GE GROUP system office room 【GEIHUA16H-GEIHUA GEIHUA8Q8-

数字逻辑电路 课程设计报告系(部):三系 专业:通信工程 班级: 12 通信 2 班 姓名:杨超 学号: 成绩: 指导老师:李海霞 开课时间: 2013-2014 学年 2 学期

一、设计题目 数字式竞赛抢答器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (1) 在给定5V直流电源电压的条件下设计一个可以容纳四组参赛者的抢 答器,每组设定一个抢答按钮供参赛者使用。4名参赛者编号为:1、2、3、4,按钮的编号与选手的编号对应,也分别为1、2、3、4。 (2) 设置一个系统清零和抢答控制开关K=space(该开关由主持人控 制),当开关K被按下时,抢答开始(允许抢答),打开后抢答电路清零。 (3) 抢答器具有一个抢答信号的鉴别、锁存及显示功能。即参赛者的开关 中任意一个开关被按下,锁存相应的编号,并在抢答显示器上显示该编号,同时扬声器发声。此时再按其他任何一个抢答器开关均无效,优先抢答选手的编号一直保持不变,直到主持人将系统清除为止。 (4) 抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定 时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬

声器响。参赛者在设定时间(9秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5) 如果抢答定时间截止,却没有选手抢答时,本次抢答无效。系统扬声 器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%;

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

数字电路3人抢答器实验报告

《数字电路与逻辑课程设计》报告 (本科) 题目三人抢答器设计 专业网络工程 班级 1305022 学号 11 姓名牟黎明评定成绩 指导教师李小平、易兴兵 完成时间 2015年 6月1日----2015年6月5日 电子工程学院 二零一五年五月

一、实习目的: 1. 数字电子技术知识的综合应用,包含: (1)门电路的应用 (2)编码器的应用 (3)JK触发器的应用 (4)显示译码器的应用 (5)七段数码显示器的应用 2. 学习电路安装图的绘制方法。 3. 学习电路的调试方法。 二、实习设备及实验器件清单: 实验器件: 1.双下降沿JK型触发器74LS112 2个 2.三3输入与非门74LS10 2个 3.四2输入与非门74LS00 2个 4.4线-七段译码器/驱动器74LS48 1个 5.LED共阴极显示器AR547 1个 6.触发开关5个 7.10K电阻5个 8.1K电阻3个 9.铜导线若干 10.锡焊丝若干

实验工具: 1.电烙铁每组一个 2.剪刀每组一把 3.镊子每组一把 4.学生电源每两组一个 5.图纸每组一张

三、实习内容 1. 原理方框图 2. 电路原理图

3. 抢答流程图 四、阐述电路工作原理。 当主持人按动复位开关SW对前一次的记录进行清除,座位显示器显示“0”,进入抢答准备阶段,但此时选手无法抢答(抢答无效,信号被封锁)。 当主持人按动开关SW1时,进入抢答时段,锁存电路输出高电平作用于触发器1、2、3的JK端。抢答信号(K1、K2、K3)以负脉冲形式作用于JK触发器时钟端,最早抢入的输入信号使该电路触发器最先翻转,输出的抢答信号一路经门F4、F5以下降沿作用于锁存电路(JK 触发器,工作于置“0”状态)时钟端,输出低电平使三路JK触发器的工作状态由“翻转”变为“保持”,后续的抢答信号不能使其他触发器产生翻转。这样就封锁了后到的信号。输出的抢答信号同时以低电平驱动座位提示灯。 三路JK触发器输出的组合信号经门电路F1、F2、F3、F6、F7、组成的识别电路,驱动座位显示电路,以数字显示的方式显示抢答成功选手座位号。 五、三人抢答器安装图绘图纸的设计。

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

路抢答器实验报告

系别:电子工程系 班级:电子101 学号:23 姓名:李光杰 指导老师:佘明辉2011年6月23日星期四

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理 根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

课程设计报告---四人抢答器

课程设计报告设计题目四人抢答器 摘要

在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。通过抢答器的数显,灯光和音响等手段指示出第一抢答者。同时还可以设置定时、记分犯规及奖惩等多种功能。 本设计采用手动抢答的方式,有人抢答后,系统自动封锁其他人的抢答按钮,使其不能再抢答,从而实现抢答功能。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过模拟仿真,下载到开发板等工作后数字抢答器成型。 关键字 抢答电路定时电路报警电路时序控制

目录 摘要 (1) 第1章概述 (3) 第2章课程设计任务及要求 (4) 2.1 设计任务 (4) 2.2 设计要求 (4) 第3章系统设计 (5) 3.1设计方案 (5) 3.2 系统设计 (5) 3.2.1 结构框图及说明 (5) 3.2.2 系统原理图及工作原理 (6) 3.3单元电路设计 (7) 第4章软件仿真 (12) 4.1 仿真电路图 (12) 4.2 仿真过程 (12) 4.3 仿真结果 (14) 第5章安装调试 (14) 5.1 安装调试过程 (14) 5.2 故障分析 (15) 第6章心得体会 (16) 附录使用元件清单 (18) 参考文献 (18)

第1章概述 随着社会的不断发展,人们的生活水平也在不断的提高人们不断要满足物质上的要求,同时对精神上的追求也在不断的提高,现在的社会是一个娱乐的社会。现在各电视台的活动和课外活动都很多。人们在参加活动的时候都对审判有很多的意见,所以为了比赛的准确和公正,就需要有仪器的辅佐。 智力竞赛抢答器就是一种活泼的有趣的供人们娱乐的游戏装置,通过抢答方式不仅能引起参赛者和观众的兴趣,而且能够提高参赛者的敏捷性,同时我们在参与中能够增加一些生活常识和科学知识,因此,在许多比赛活动中为了准确,公正的进行每一场比赛,特别设置了一台具有显示第一抢答者并锁定、犯规警告等多种功能的抢答器,该设计针对各种要求设计出可供八名选手参赛使用的数字式竞赛抢答器,适用于各大中小电视台,学校等单位举行的智力竞赛。数字电路组成的数字系统工作可靠,精度较高,抗干扰能力很强,所以智力竞赛抢答器的设计就有数字电路来控制。 第2章课程设计任务及要求 2.1 设计任务 设计一个四位智力竞赛抢答器。准确地理解有关要求,独立完成系统设计,要求所设计的电路具有以下功能: (1)设计4组参赛的抢答器,每组设置一个抢答按钮。 (2)给节目主持人设置一个控制开关S,这个开关由主持人控制,进行清零和抢答使能。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示。 (4)抢答器具有抢答计时功能,且一次抢答的时间由主持人设定(如30秒)。 2.2 设计要求

CD4511-NE555八路抢答器实验报告1

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业:电气自动化技术 班级:10电气一班 学号: 指导教师: 同组成员: 时间:2011年11月15号至2011 年11月25

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

八路抢答器实验报告

八路抢答器实验报告-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

八路智力竞赛抢答器设计 一.实验目的 掌握抢答器的工作原理及其设计方法。 学会用Multisim8软件操作实验内容。 掌握设计性试验的实验方法 二.实验要求 八路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是0、1、2、3、4、5、6、7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 扩展功能: 1.抢答器具有定时抢答的功能。当节目支持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统封锁输入电路,禁止选手超时后抢答,时间显示器上显示00. 三.实验原理

根据对功能要求的简要分析,将定时抢答器电路分为主题电路和扩展电路两部分。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答器按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答及报警功能。 比赛开始时,接通电源,节目主持人将开关置于“清零”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器上显示设定时间。当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,抢答器处于工作状态,定时器开始倒计时。若定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。若选手在定时时间内按动抢答按钮时,抢答器要完成以下四项工作:1.优先编码器电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号; 2.扬声器发出短暂声响,提醒节目主持人注意; 3.控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4.控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。当选手将问题回答完毕时,主持人操作控制开 关,使系统回复到禁止工作状态,以便进行下一轮抢答。 上述方案所示抢答器的工作过程:主持人按动开始抢答的开关后,最先抢答的选手的电平信号先经过优先编码器,再依次经过数据锁存器,此时已经限制了其他选手的抢答,信号再经过译码器和七段数码显示器,将最先抢答的该

相关主题
文本预览
相关文档 最新文档