当前位置:文档之家› (完整版)基于FPGA的交通灯毕业设计论文

(完整版)基于FPGA的交通灯毕业设计论文

(完整版)基于FPGA的交通灯毕业设计论文
(完整版)基于FPGA的交通灯毕业设计论文

基于FPGA的交通灯设计

摘要EDA工具对于电子设计人员来说极其重要,它可以在电子设计的各个阶段、层次进行计算机模拟验证,确保设计的准确性,可缩短设计周期,降低设计成本。本文介绍的是数字信号交通灯,利用EDA设计工具,采用VHDL语言开发设计,并通过FPGA(即现场可编程门阵列)芯片设计来实现系统控制功能。

数字信号交通灯可以实现十字路口红绿灯的自动控制。基于FPGA的交通灯设计系统具有可靠性强、实时快速擦写、运算速度高、故障率低、电路简单,且体积小的特点。本毕业设计采用的是Altera公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能;使用QuartusII软件作为开发平台;采用自顶向下的设计思路对系统进行模块化设计和综合,并通过波形仿真和硬件实现两种方式实现并验证数字信号交通灯的功能。

关键词VHDL,交通灯,EDA

ABSTRACT

EDA tools is extremely important to electronic designers, to ensure the accuracy of the design ,it can verify computer simulations in all stages and levels of electronic design ,it can also shorten the design cycle and reduce design costs .This article describes a digital signal traffic lights ,using EDA design tools ,and VHDL ,and FPGA(the Field Programmable Gate Arrays),through the chip to design system control functions.

Digital signal traffic light traffic lights can achieve automatic control of the crossroads .The design of FPGA-based traffic light system , lower rate of fault, simple circuit and small volume. During this graduation project, I take the Altera Corporation CycloneII series EP2C5T144 chip as the minimum system core, it can be easily embedded in the actual application of the traffic light system, it can do simple logic control, data acquisition, signal processing, mathematical calculations and other functions;using QuartusII as development platform; using top-down design ideas to system modular design and synthesis, and through waveform simulation and two ways to complete and verify the function of digital signal traffic lights.

Key Words:VHDL, Traffic light, EDA

目录

绪论 (1)

1 简述EDA (3)

1.1 EDA技术及其发展 (3)

1.2 EDA技术的优势 (3)

2 FPGA概述 (7)

2.1 FPGA的简介 (7)

2.2 FPGA设计交通灯的意义 (7)

3 硬件描述语言VHDL (9)

4 QuartusII软件 (10)

4.1 QuartusII简介 (10)

4.2 基于QuartusII的设计流程 (10)

5 系统设计与仿真 (12)

5.1 系统介绍 (12)

5.1.1 设计任务 (12)

5.1.2 设计要求 (13)

5.2 系统设计仿真 (14)

5.2.1 系统框图的设计 (14)

5.2.2 工程设计流程框图 (15)

5.2.3 芯片的选择 (15)

5.2.4 各个模块的设计与仿真 (15)

结论 (26)

参考文献 (27)

附录 1 (28)

附录 2 (36)

答谢 (37)

绪论

在现代城市的日常运行控制中,车辆的交通控制越来越重要,道路超负荷承载现象严重,致使交通事故逐年增加。因此解决好交通信号灯控制问题是保障城市交通有序、安全、快速运行的重要保证。

当今社会是数字集成电路广泛应用的社会,数字集成电路本身在不断进行更新换代,随着微电子技术的发展, 特别是大规模集成电路和计算机技术的研制和发展,通过“语言”进行电子设计已成为一种趋势。这样既可以使工程师根据自己的意愿设计开发ASIC,而且可以缩短设计周期。现场可编程门阵列(FPGA)即属其中应用最广泛的一种,而且基于EDA技术的现场可编程门阵列(FPGA)在数字系统设计和控制电路中越来越受到重视。VHDL是电子开发主流语言之一,这使得工程师不必过多考虑硬件具体结构,专注于电路功能的设计,适合进行行为描述。

DEA技术的发展和应用领域的扩大与深入,在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出,因此

本论文研究基于FPGA的交通灯设计。交通灯控制电路是用于城市交通疏导的管理系统,它是现代城市交通监控指挥系统中最重要的组成部分。交通信号灯指挥着人和各种车辆的安全运行,实现红、黄、绿灯的自动指挥是城乡交通管理现代化的重要课题。在城乡街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口城乡交通管理自动化。

本论文简要介绍了FPGA器件的特点和设计意义,以QuartusII软件为开发平台,通过VHDL硬件描述语言以及原理图的输入方式来设计交通灯。

交通灯控制器用于自动控制十字路口交通灯和计时器。设计一个十字路口交通控制器,方向分为东南西北四个方向。东西方向的红绿灯状态一样,南北方向的红绿灯状态一样。每个方向上,有四盏灯,分别是左转灯、红灯、绿灯和黄灯。左拐灯亮表示左转车辆可以通行;红灯亮表示左转和直行车辆禁行;绿灯亮表示直行车辆和右转的车辆可以通行;黄灯亮表示左转和直行的车辆即将禁行。

1 简述EDA

1.1 EDA技术及其发展

EDA(Electronic Design Automation)技术作为现代电子设计技术的核心,它依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、结构综合,以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使得设计者的工作仅仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现。

在现代高新电子产品的设计和生产中,微电子技术和现代电子设计技术是相互促进、相互推动又相互制约的两个技术环节。前者代表了物理层在广度和深度上硬件电路实现的发展,后者则反映了现代先进的电子理论、电子技术、仿真技术、设计工艺和设计技术与最新的计算机软件技术有机的融合和升华。因此,严格的说,EDA技术应该是两者的结合,是这两个技术领域共同孕育的奇葩。

现在,传统ASIC和FPGA之间的界限正变得模糊。系统级

芯片不仅集成了RAM和微处理器,也集成了FPGA。整个EDA和IC设计工业都朝着这个方向发展。

1.2 EDA技术的优势

传统的数字电子系统或IC设计中,手工设计占了较大的比例。手工设计一般先按电子系统的具体功能要求进行功能划分,然后对每个子模块画出真值表,用卡诺图进行手工逻辑化简,写出布尔表达式,画出相应的逻辑线路图,再据此选择元器件,设计电路板,进行实测和调试。所以手工设计存在如下缺点:(1)复杂电路的设计、调试十分困难;

(2)无法进行硬件系统仿真,如过程中存在错误,查找和修改十分不便;

(3)设计过程中产生大量文档,不易管理;

(4)对于IC设计而言,设计实现过程与具体生产工艺直接相关,因此可移植性差;

(5)只有设计出样机或生产出芯片后才能进行实测。

相比之下,EDA技术有很大不同之处:

(1)用HDL对数字系统进行抽象的行为与功能描述以及具体的内部线路结构描述,从而可以在电子设计的各个阶段、各

个层次进行计算机模拟验证,保证设计过程的正确性,大大降低设计成本,缩短设计周期;

(2)EDA工具之所以能够完成各种自动设计过程,关键是有各类库的支持。如逻辑仿真时的模拟库、逻辑综合时的综合库、版图综合时的版图库、测试综合时的测试库等。这些库都是EDA公司于半导体生产厂商紧密合作、共同开发的;

(3)某些HDL(如VHDL)是文档型的语言,极大的简化了设计文档的管理;

(4)EDA技术最为瞩目的功能,即最具现代电子设计技术特征的功能是日益强大的逻辑设计仿真测试技术。EDA仿真测试技术只需通过计算机,就能对所设计的电子系统从各种不同层次的系统性能特点完成一系列准确的测试和仿真操作,在完成实际系统的安装后还能对系统上的目标器件进行所谓边界扫描测试。这一切极大地提高了大规模系统电子设计的自动化程度;

(5)无论传统的应用电子系统设计的如何完美,使用了多么先进的功能器件,都掩盖不了一个无情的事实,即该系统对于设计者来说,没有任何自主知识产权可言,因为系统中的关键性的器件往往并非出自设计者之手,这将导致系统在许多情况下的应用直接受到限制。基于EDA技术的设计则不同,由于

用HDL表达的成功的专用功能设计在实际目标方面有很大的可选性,它既可以用不同来源的通用FPGACPLD实现,也可以直接以ASIC来实现,设计者拥有完全的自主权,再无受制于人之虞;

(6)传统的电子设计方法至今没有任何标准规范加以约束,因此,设计效率低,系统性能差,开发成本高,市场竞争力也小。而EDA技术的设计语言是标准化的,不会由于设计对象的不同而改变;它的开发工具是规范化的,EDA软件平台支持任何标准化的设计语言;它的设计成果是通用的,IP核具有规范的接口协议。良好的可移植和可测试性,为系统开发提供了可靠的保证;

(7)从电子设计方法学来看,EDA技术最大的优势就是能将所有设计环节纳入统一的自顶向下的设计方案之中;

(8)EDA不但在整个设计流程上充分利用计算机的自动设计能力,在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整的测试。

2 FPGA概述

2.1 FPGA的简介

现场可编程门阵列,即FPGA(Field-Programmable Gate Array),它由若干独立的可编程逻辑模块组成,具体包括输入输出模块IOB、可编程逻辑模块CLB、互连资源IR和一个用于存放编程数据的静态存储器。它是在可编程器件的基础上进一步发展的产物,用户可以通过编程将这些模块连接成所需要的数字系统。因为这些模块的排列形式和门阵列(GA)中单元的排列形式相似,所以沿用了门阵列这个名称。FPGA属于高密度PLD,其集成度可达百万门片以上。

FPGA中除了个别的几个引脚外,大部分引脚都与可编程的IOB相连,均可根据需要设置成输入端或输出端。每个CLB中都包含组合逻辑电路和存储电路(即触发器)两部分,可以设置成规模不大的组合逻辑电路或时序逻辑电路。为了能将这些CLB 灵活的连接成各种应用电路,在CLB指尖的布线区内配置了丰富的连线资源。

FPGA作为专用集成电路领域中的一种半定制电路,不仅克服了原有可编程器件门电路数有限的缺点,而且解决了定制电

路的不足问题。

生产FPGA的公司有XILINX、TI、ALTERA等。

2.2 FPGA设计交通灯的意义

FPGA是一个功能强大的可编程逻辑芯片,采用FPGA设计ASIC电路,用户不需要投片生产,就能得到所需的芯片。FPGA 内部有丰富的触发器和IO引脚。FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。FPGA采用高速CHMOS 工艺,功耗低,可以与CMOS、TTL电平兼容。所以用FPGA完成交通灯的设计合理可行。

3 硬件描述语言VHDL

硬件描述语言HDL是EDA技术的重要组成部分,常见的HDL 有下列几种:VHDL、Verilog HDL、System Verilog、和System C。其中VHDL、Verilog在现在EDA设计中使用最多,也得到几乎所有的主流EDA工具的支持。

VHDL的英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,于1983年有美国国防部(DOD)发起创建,由IEEE(The Institute of

Electrical and Electronics Engineers)进一步发展并在1987年作为“IEEE标准1076”发布。从此,VHDL成为硬件描述语言的业界标准之一。自IEEE公布了VHDL的标准版本之后,各EDA 公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具支持VHDL。此后VHDL在电子设计领域得到了广泛应用,并逐步取代了原有的非标准硬件描述语言。

VHDL语言具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

VHDL具有与具体硬件电路无关和设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,在语言易读性和层次化结构化设计方面表现了强大的生命力和应用潜力。用VHDL进行电子系统设计的一个很大优点是设计者可以专心致力于其功能的实现,而不需对不影响功能的与工艺有关的因素花费过多的时间和精力。

4 QuartusII软件

4.1 QuartusII简介

QuartusII是Altera提供的FPGACPLD开发集成环境,Altera是世界上最大的可编程逻辑器件供应商之一。QuartusII 在21世纪初推出,是Altera前一代FPGACPLD集成开发环境MAX+plusII的更新换代产品,其界面友好,使用便捷。QuartusII 提供了一种与结构无关的设计环境,使设计者能方便地进行设计输入、快速处理和器件编程。

Altera的QuartusII提供了完整的多平台设计环境,能满足各种特定设计的需要,也是单芯片可编程系统(SOPC)设计的综合性环境和SOPC开发的基本设计工具,并为Altera DSP 开发包进行系统模型设计提供了集成综合环境。

QuartusII包括模块化的编辑器。编辑器包括的功能模块有分析综合器、适配器、装配器、时序分析器、设计辅助模块、EDA网表文件生成器、编辑数据接口等。可以通过选择Start Compilation来运行所有的编辑器模块,也可以通过选择Start 单独运行各个模块。

4.2 基于QuartusII的设计流程

(1)建立工作库文件夹和编辑设计文件;

(2)创建工程;

(3)全程编译工程;

(4)时序仿真;

(5)引脚锁定;

(6)下载至硬件系统验证。

5 系统设计与仿真

5.1 系统介绍

设计交通灯是为了自动控制各个十字路口倒计时器和交通信号灯,从而使行人和各种车辆能够安全通过。

5.1.1 设计任务

本论文通过设计一个十字路口的交通灯来形象指挥行人和车辆的安全通行。十字路口处,方向分为东西南北四个方向。东西方向的交通信号灯状态保持一致,南北方向的交通信号灯状态也保持一致。其中每个方向有左转灯、红灯、绿灯和黄灯,

共四盏。左转灯亮说明车辆可以左转通行;红灯亮说明禁止车辆直行和左转;绿灯亮说明允许车辆直行和右转;黄灯亮说明即将禁止车辆直行和左转。在每个方向上均设有一个倒计时显示器,以显示禁止或允许通行的倒计时间。十字路口交通灯如图5.1所示:

图5.1十字路口交通灯

5.1.2 设计要求

在十字路口处,每组交通信号灯的显示顺序为:左转绿黄红。倒计时器显示相应方向上的绿灯、红灯倒计时间,其余状态不予显示。左转、红、绿和黄灯点亮时间分别为15s、80s、45s、5s。状态表如表5.1所示:

表5.1交通灯状态转换表

5.2 系统设计仿真

本毕业论文设计是基于QuartusII软件,底层设计和顶层

设计均采用VHDL进行描述设计,同时为了使设计变得形象简明,通过顶层设计生成了原理图。

5.2.1 系统框图的设计

图5.2系统框图

系统框图由6部分组成,包括:分频、控制、倒计时、红绿灯显示、译码、译码显示等模块。

5.2.2 工程设计流程框图

图5.3工程设计流程框图

5.2.3 芯片的选择

本毕业设计采用的是Altera公司CycloneII系列的EP2C5T144芯片作为核心最小系统,它可以方便嵌入到实际的交通灯应用系统中,可以完成简单的逻辑控制、数据采集、信号处理、数学计算等功能。

5.2.4 各个模块的设计与仿真

5.2.4.1分频器模块设计(FPQ)

由于采用的FPGA芯片的时钟频率是50MHz,需要将其分频为1Hz。该模块即是实现50M的分频,将频率变为1Hz的脉冲波,从而得到周期为1s的脉冲波。其电路图如图5.4所示:

图5.4分频器电路图

如果要真正的实现分频50M,在仿真结果图中是很难观察的,甚至是没有办法验证的,故在仿真过程中,将分频的倍数变小,变为20分频,这样从图中可容易的得到并验证对时钟输入信号的20分频,如图5.5所示, Reset是复位信号,CLK_50MHz是输入时钟频率,CLK_1Hz是输出时钟频率,从图中可以看出,输出频率CLK_1Hz确实是输入时钟频率CLK_50MHz的20分频。

图5.5分频器仿真图

5.2.4.2状态控制模块设计(CONTROL_STATUS)

CONTROL_STATUS模块根据输入CLK_1Hz的脉冲信号输出不同的STATUS值,下游的模块依据STATUS的值来确定红绿灯的状态;于此同时对倒计时信号赋初值。其电路如图5.6所示:

图5.6状态控制模块电路图

在CONTROL_STATUS模块仿真图中,输入信号CLK是一个频

(完整版)基于FPGA的智能交通灯的设计毕业设计

目录 摘要 ............................................................. I 1 前言 (1) 2 交通红绿灯控制电路的发展与技术现状 (2) 2.1 交通控制系统以及交通红绿灯控制电路的发展现状 (2) 2.2 智能交通红绿灯控制电路技术的现状 (3) 3 VHDL、FPGA、Quartus ii简介 (5) 3.1 VHDL简介 (5) 3.1.1 VHDL简介 (5) 3.1.2 VHDL语言的特点 (6) 3.2 FPGA简介 (8) 3.2.1 PLD器件的设计特点 (8) 3.2.2 FPGA的基本结构 (10) 3.2.3 采用FPGA设计逻辑电路的优点 (11) 3.3 Quartus II 的简介 (12) 4 具体方案论证与设计 (13) 4.1 具体方案论证 (13) 4.2系统算法设计 (15) 4.3 具体电路原理图 (16) 4.4 电路仿真图 (16) 5 实验结果 (17) 总结 (18) 参考文献 ......................................... 错误!未定义书签。附录: .. (19)

基于FPGA的十字路口交通信号灯 摘要 本文主要介绍十字路口交通灯控制器的设计。首先,介绍交通控制系统以及交通红绿灯控制电路的发展现状;然后采用硬件描述语言进行的交通灯控制器设计。重点介绍了控制系统各部分的设计,以及各个模块之间的同步处理。为了克服交通信号灯控制系统传统设计方法的弊端,更加适应城镇交通现状,利用VHDL语言、采用层次化混合输入方式,设计了具有3种信号灯和倒计时显示器的交通信号灯控制系统,在 QuartusⅡ下进行仿真,并下载到FPGA中制作成实际的硬件电路进行了模拟运行.使用该方法设计的交通灯控制系统电路简单、运行可靠、易于实现,可实现对交通信号的控制和显示功能。 关键词 FPGA;QUARTUS ii;HDPLD;十字路口交通灯控制器; Based on FPGA intersection traffic lights Abstract This paper describes the design of intersection traffic signal controller.First, the introduction of traffic control systems and traffic light control circuit of the development status; then using language designed for the traffic light controller.Focus on various parts of the control system

厦门大学毕业设计(论文)

厦门大学 级毕业设计(论文) (页面设置:论文版心大小为155mm×245mm,页边距:上2.6cm,下2.6cm,左2.5cm,右2cm,行间距20磅,装订线位置左,装订线1cm,) 此处为论文题目,黑体2号字 2~3~qq4~9~5~2~6~3~5 / 2`6248qq0221 以下各项居中列,黑体小四号) 年级: 159dian 2717hua 1195 学号: 姓名: 专业: 指导老师: (填写时间要用中文) 年月

院系专业 年级姓名 题目 指导教师 评语 指导教师 (签章) 评阅人 评语 评阅人 (签章) 成绩 答辩委员会主任 (签章) 年月日 毕业设计任务书 班级学生姓名学号专业 发题日期:年月日完成日期:年月日 题目

题目类型:工程设计技术专题研究理论研究软硬件产品开发 一、设计任务及要求 二、应完成的硬件或软件实验 三、应交出的设计文件及实物(包括设计论文、程序清单或磁盘、实验装置或产品 等) 四、指导教师提供的设计资料 五、要求学生搜集的技术资料(指出搜集资料的技术领域) 六、设计进度安排 第一部分(4 周)第二部分(6 周)第三部分(2 周)

评阅及答辩(1 周) 指导教师:年月日系主任审查意见: 审批人:年月日 注:设计任务书审查合格后,发到学生手上。 ××××大学××××××××学院20XX年制

摘要正文略 关键词:关键词;关键词;关键词;关键词(关键词之间分号隔开,并加一个空格)

Abstract 正文略 Keywords: keyword; keyword; keyword; keyword

fpga毕业设计开题报告.doc

fpga毕业设计开题报告 FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。以下是fpga毕业设计,欢迎阅读。 1选题目的意义和可行性 在这个时间就是金钱的年代里,数字电子钟已成为人们生活中的必需品。目前应用的数字钟不仅可以实现对年、月、日、时、分、秒的数字显示,还能实现对电子钟所在地点的温度显示和智能闹钟功能,广泛应用于车站、医院、机场、码头、厕所等公共场所的时间显示。随着现场可编程门阵列( field program-mable gate array ,FPGA) 的出现,电子系统向集成化、大规模和高速度等方向发展的趋势更加明显,作为可编程的集成度较高的ASIC,可在芯片级实现任意数字逻辑电路,从而可以简化硬件电路,提高系统工作速度,缩短产品研发周期。故利用FPGA这一新的技术手段来研究电子钟有重要的现实意义。设计采用FPGA现场可编程技术,运用自顶向下的设计思想设计电子钟。避免了硬件电路的焊接与调试,而且由于FPGA的I /O 端口丰富,内部逻辑可随意更改,使得数字电子钟的实现较为方便。本课题使用Cyclone EP1C6Q240的FPGA器件,完成实现一个可以计时的数字时钟。该系统具有显示时、分、秒,智能闹钟,按键实现校准时钟,整点报时等功能。满足人们得到精确时间以及时间提醒的需求,方便人们生活。 2 研究的基本内容与拟解决的主要问题 2.1研究的基本内容 数字时钟是采用电子电路实现对时间进行数字显示的计时

装置,由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度不断提高。 数字时钟系统的实现有很多,可以利用VerilogDHL语言在Quartus II里实现时、分、秒计数的功能。在芯片内部存储器设24个字节分别存放时钟的时、分、秒信息。数字时钟首先是秒位(共8位)上按照系统时钟CLK进行计数,存储器内相应的秒值加1;若秒位的值达到60(110000),则将其清零,并将相应的分位(共8位)的值加1;若分值达到60(110000),则清零分位,并将时位(共8位)的值加1;若计数满24(100100)后整个系统从0开始重新进行计数。 本设计使用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能显示时,分,秒,以及通过按键实现校准时钟主要功能,使用LED液晶屏显示,分别显示时,分,秒。并且能够实现附加功能----闹铃设置功能和整点报时。 2.2 拟要解决的问题 本设计电子钟系统功能简单,用Cyclone EP1C6Q240的FPGA器件为核心,通过编写程序,完成此电子时钟的主要功能。 本课题主要解决以下问题: (1) 学习VerilogDHL语言、运用Quartus II环境进行程序设计。 用VerilogDHL语言能进行综合的电路设计,也可用于电路的仿真;设计的 规模是任意的,语言不对设计规模施加任何限制;内置各种基本的逻辑门。便于改进和扩充,有利于本系统的研制,并使其性能更完备的。

大学生毕业论文(设计)要求

大学生毕业论文(设计)要求 毕业论文(设计)的主要内容应包括文献综述、任务提出、方案论证、设计思想、设计计算、实验结果、技术分析、结论等。实验研究类的题目要有相应的系统结构图,毕业论文(设计)的基本要求要符合学校本科生毕业论文(设计)的撰写规范。 学生完成毕业论文(设计)书面材料包括: 1.题目:应能概括整个论文最重要的内容,恰当、简明、引人注目。题目应力求简短,一般不宜超过30字。需要中英文。 2.中文摘要:论文第1页为内容摘要,约300字左右。应说明工作目的、研究方法、成果和结论。要突出本论文的创造性成果或新的见解,语言力求精练。为了便于文献检索,应在本页下方另起一行注明本文的关键词(3至5个)。3.英文摘要:论文第2页为英文摘要。上方应有题目,内容与中文摘要相同。4.目录:应是论文的提纲,也是论文组成部分的小标题。目录应独立成页,包括论文的全部页码。 5.前言:在论文的开头,一般要概括地写出作者意图,说明选题的目的及意义,指出论文写作的范围。 6.正文:是学位论文的主体,着重反映论文研究工作范畴,研究方法。在正文中应将调查、研究中所得的材料和数据进行加工整理和分析研究,提出论点,要突出创新。正文一般可包括以下几个方面: (1)研究内容 (2)研究方法(实验方法) (3)结果 (4)讨论 正文要求论点正确,推理严谨,数据可靠,文字精练,条理分明。 7.参考文献:只列主要的及公开发表过的,按中文引用的顺序附于文末。8.致谢:对给予各类资助、指导和协助完成研究工作以及提供各种对论文工作有利条件的单位及个人表示感谢。致谢应实事求是。 9.学位论文完成后,在最后加上指导教师评语、论文评阅人评语、答辩委员会意见。

智能交通灯的毕业设计

太原科技大学 毕业设计(论文)任务书化学与生物工程学院机电一体化专业10级3班设计人(作者):徐今 同组人:徐今吉武师海斌韩志刚 王煜贺斌兰晓江邢超斌一.毕业设计(论文)题目: 智能交通灯 二.原始数据(材料): (1)单片机LED灯显示设计 (2)用实验室模块演示 (3)软件protus仿真演示 (4)亚龙实验平台

目录 摘要------------------------------------1 AT89C51单片机简介----------------------2 一、设计目的---------------------------4 二、设计目标---------------------------4 三、设计任务---------------------------5 四、设计内容---------------------------6 (1)指示灯燃亮的状态----------------------6(2)设计并绘制硬件电路图。-------------7(3)设计程序流程图---------------------8(4)编程-------------------------------9 五、交通管理方案----------------------10 六、结束语----------------------------11 七、参考文献--------------------------13

摘要 交通在人们生活中占有重要地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量,提高道路通行能力,减少交通事故,有明显的效果。近年来,随着科技的飞速发展,单片机的应用不断深入,同时带动传统控制检测技术日益更新和自动控制的单片机应用系统中。单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89C51为中心器件,来设计交通灯控制器系统实用性强,操作简单,扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示。本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、复位电路等其它部分组成。较好的模拟实现了十字路口可能出现的交通情况。 关键字:电子线路、AT89C51、交通灯

基于FPGA下的交通灯控制器设计

引言 随着城乡的经济发展,车辆的数量在迅速的增加,交通阻塞的问题已经严重影响了人们的出行。 现在的社会是一个数字化程度相当高的社会,很多的系统设计师都愿意把自己的设计设计成集成电路芯片,芯片可以在实际中方便使用。随着EDA技术的发展,嵌入式通用及标准FPGA器件的呼之欲出,片上系统(SOC)已经近在咫尺。FPGA/CPLD 以其不可替代的地位及伴随而来的极具知识经济特征的IP芯片产业的崛起,正越来越受到业内人士的密切关注。FPGA就是在这样的背景下诞生的,它在数字电路中的地位也越来越高,这样迅速的发展源于它的众多特点。交通等是保障交通道路畅通和安全的重要工具,而控制器是交通灯控制的主要部分,它可以通过很多种方式来实现。在这许许多多的方法之中,使用FPGA和VHDL语言设计的交通灯控制器,比起其他的方法显得更加灵活、易于改动,并且它的设计周期性更加短。 城市中的交通事故频繁发生,威胁着人们的生命健康和工作生活,交通阻塞问题在延迟出行时间的同时,还会造成更多的空气污染和噪声污染。在这种情况下,根据每个道路的实际情况来设置交通灯,使道路更加通畅,这对构建和谐畅通的城市交通有着十分重要的意义。

第一章软件介绍 1.1 QuartusⅡ介绍 本次毕业设计是基于FPGA下的设计,FPGA是现场可编程门阵列,FPGA开发工具种类很多、智能化高、功能非常的强大。可编程QuartusⅡ是一个为逻辑器件编程提供编程环境的软件,它能够支持VHDL、Verilog HDL语言的设计。在该软件环境下,设计者可以实现程序的编写、编译、仿真、图形设计、图形的仿真等许许多多的功能。在做交通灯控制器设计时选择的编程语言是VHDL语言。 在这里简单的介绍一下QuartusⅡ的基本部分。图1-1-1是一幅启动界面的图片。在设计前需要对软件进行初步的了解,在图中已经明显的标出了每一部分的名称。 图 1-1-1 启动界面 开始设计前我们需要新建一个工程,首先要在启动界面上的菜单栏中找到File,单击它选择它下拉菜单中的“New Project Wizard”时会出现图1-1-2所显示的对话框,把项目名称按照需要填好后单击Next,便会进入图 1-1-3 显示的界面。

重庆大学本科毕业设计(论文)

重庆大学本科学生毕业设计(论文) 浅析环境心理学在现代商业展示设计中的运用 学生:崔海侠 学号:20070309 指导教师:杨定强 专业:艺术设计(视觉传达方向) 重庆大学艺术学院 二O一一年六月

Graduation Design(Thesis) of Chongqing University Analyses the Environmental Psychology Application of Modern Commercial Display Design Undergraduate: Cui Haixia Supervisor:Yang Dingqiang Major:ArtDesign(visualcommunication direction) College of Arts Chongqing University June 2011

摘要 随着展示设计学科的不断完善,环境心理学在展示设计中的重要作用日益显现,并且成为现代展示设计人性化设计的指导理论之一。是为了了解人在不同展示环境下的心理和行为,科学而艺术地把握展示环境设计中各要素关系,创造符合人们心理需要的展示空间环境。环境心理学在展示设计中的应用,与以往相比,更加强调“以人为本”的设计理念,强调以人的感受作为设计的终极目标。我们研究人在环境中的行为、心理及它们之间的关系和相互作用的目的就在于:了解生活中人们的行为、心理倾向,从而使我们对人环境的关系、对怎样创造展示空间环境,都应具新的更为深刻的认识,使其及时地反馈到我们展示空间的设计中去,合理的组织空间,设计好界面、颜色和光照,创造出功能合理、舒适优美、满足人们物质和精神生活需要的展示环境。 关键词:环境心理学展示设计

基于FPGA的四层电梯控制系统设计毕业设计论文

毕业论文Array 基于FPGA的四层电梯控制系统设计

毕业设计(论文)原创性声明和使用授权说明 原创性声明 本人郑重承诺:所呈交的毕业设计(论文),是我个人在指导教师的指导下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为获得及其它教育机构的学位或学历而使用过的材料。对本研究提供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了谢意。 作者签名:日期: 指导教师签名:日期: 使用授权说明 本人完全了解大学关于收集、保存、使用毕业设计(论文)的规定,即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采用影印、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下,学校可以公布论文的部分或全部内容。 作者签名:日期:

学位论文原创性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果由本人承担。 作者签名:日期:年月日 学位论文版权使用授权书 本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期:年月日 导师签名:日期:年月日

江苏大学毕业设计及论文基本要求

本科毕业设计要求: 1、英文文献翻译,文献的原文由老师提供,要求对英文文献中的题目、摘要、正文、图表 名称进行原意翻译,文献中的作者、公式、图表以及参考文献不需要翻译。翻译时不可通过翻译工具进行全文翻译,仅能使用翻译工具进行初步翻译再针对原文意思进行修改,必须保证译文具有一定的可读性和准确性。建议:通读全文,了解一定意思之后再进行翻译,专业词汇无法准确翻译,推荐使用CNKI翻译助手,网址为https://www.doczj.com/doc/9b15759271.html,/。 2、综述或读书笔记:即经过广泛阅读毕业设计相关资料、书籍和文献之后,针对毕业设计 内容的背景、发展现状、主要技术及应用、理论基础等做相应总结,撰写出一份综述或读书笔记。必须在最后给出阅读的参考文献,同样,内容的编排需要具备一定的可读性和准确性。要求篇幅8-10页。 3、任务书:由老师下达,学生提交正确的专业、班级和姓名。 4、针对毕业设计题目,进行一定的仿真、硬件设计或实验验证,每一个毕业设计必须要有 相应的结果,或是仿真模型和仿真波形结果,或者硬件系统设计原理图PCB,或者最终的实验平台搭建和实验结果,或者完成相应的软件代码编写,根据各自的题目,在毕业完成最后必须具有一定的结果呈出。 5、所有的英文文献翻译、综述以及毕业论文的撰写必须规范严谨,请参考下页给出的示意 图,所有的图表名称应比正文小一个字体,如正文为小四字体,则图表的名称为五号字体,并且要求图中和表中的文字尽量不要超过图表名称的字体大小。另:所有论文编写请统一采用office word,不要采用WPS,排版会有很大问题,所有的公式请采用公式编辑器MathType6.0及以上的安装版,画图和制图均使用office visio07或以上版本,软件请大家到网上下载,或者问老师拷贝安装。 6、请大家学会搜索和下载参考文献,进入学校图书馆网址https://www.doczj.com/doc/9b15759271.html,,在“常用资 源里面”的“CNKI知识网络数字平台”和“万方知识服务平台”两个数据库里面,可按照各自毕业设计题目中的关键词搜索相关期刊论文和硕士博士论文,进行阅读参考。如有疑问和不懂的地方,及时与老师沟通。 7、毕业设计期间纪律:(1)每周进行一次汇报,汇报各自研究进展和取得的阶段性成果; (2)请大家养成自觉和好问的习惯,有不会的地方及时沟通联系老师;(3)若要出去短暂实习或找工作,必须明确告知老师并请假,汇报可采用邮件或者电话或者QQ的形式;(4)原则情况下不接受全学期在外实习,如果需要毕业设计期间去工厂实习,必须办理相关手续,并且毕业设计由工厂提供,老师只负责监督和把关,由此造成的不良后果,请自行负责;(5)若出现不遵守纪律者,毕业设计出现不及格一概与老师无关!8、毕业设计具体和时间节点: (1)英文文献翻译,第4周周三前; (2)中期检查审核,第9-10周; (3)论文初稿,第13-14周; (4)论文定稿,第14-15周; (5)答辩时间,6月5日~6月10日。

(完整版)PLC交通灯毕业设计

以下文档格式全部为word格式,下载后您可以任意修改编辑。 题目:十字路口交通灯的设计与调试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录

第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产;

通过Verilog实现交通灯设计实验报告

电子科技大学 实 验 报 告 一、实验室名称:虚拟仪器实验室 二、实验项目名称:交通灯设计实验 三、实验学时:4学时 四、实验原理

假设交通灯处于南北和东西两条大街的“十”字路口,如图1所示。用FPGA 开发板的LED 灯来模拟红、黄、绿3种颜色信号,并按一定顺序、时延来点亮LED ,如图2所示。图3给出了交通灯的状态转移图。设计使用频率为1Hz 的时钟来驱动电路(注1:仿真时采用1MHz 的时钟来驱动电路),则停留1个时钟可得到1S 的延时,类似停留3个时钟可得到3S 的延时,停留15个时钟可得到15S 的延时(注2:开发板工作时钟为50MHz )。 北 南 西东 图1. 六个彩色LED 可以表示一组交通信号灯 图2. 交通灯状态 南北 东西 红 黄 绿 红 黄 绿 S0 1 0 0 0 0 1 S1 1 0 0 0 1 0 S2 1 0 0 1 0 0 S3 0 0 1 1 0 0 S4 0 1 0 1 0 0 S5 1 0 0 1 0 0

图3. 交通灯的状态转移图 顶层模块 时钟分频模块状态机跳转模块 图4. 交通灯的原理框图 五、实验目的 本实验是有限状态机的典型综合实验,掌握如何使用状态转移图来定义Mealy状态机和Moore状态机,熟悉利用HDL代码输入方式进行电路的设计和仿真的流程,掌握Verilog语言的基本语法。并通过一个交通灯的设计掌握利用EDA软件(Xilinx ISE 13.2)进行HDL代码输入方式的电子线路设计与仿真的详细流程。。 六、实验内容 在Xilinx ISE 13.2上完成交通灯设计,输入设计文件,生成二进制码流文件下载到FPGA开发板上进行验证。 七、实验器材(设备、元器件)

(整理)成都大学毕业设计(论文)撰写规范

毕业设计(论文)撰写规范 学士学位论文(设计说明书)是学生在教师的指导下经过调查研究、科学实验或工程设计,对所取得成果的科学表述,是学生毕业及学位资格认定的重要依据。其撰写在参照国家、各专业部门制定的有关标准及语法规范的同时,应遵照如下规范: 1.论文结构及写作要求 论文(设计说明书)应包括题目、中文摘要与关键词、英文题目、英文摘要与关键词、目录、正文、致谢、参考文献和附录等部分。 1.1 题目 题目应该简短、明确、有概括性。论文题目一般中文题目不超过25个字,外文题目不超过15个实词,不使用标点符号,中外文题名应一致。标题中尽量不用英文缩写词,必须采用时,应使用本行业通用缩写词。 1.2 摘要与关键词 1.2.1 摘要 摘要是对论文(设计说明书)内容不加注释和评论的简短陈述,要求扼要说明研究工作的目的、主要材料和方法、研究结果、结论、科学意义或应用价值等,是一篇具有独立性和完整性的短文。摘要中不宜使用公式、图表以及非公知公用的符号和术语,不标注引用文献编号。中文摘要一般为300字左右,外文摘要为250个实词左右,外文摘要应与中文摘要内容一致。 1.2.2 关键词

关键词是供检索用的主题词条,应采用能覆盖论文主要内容的通用技术词条(参照相应的技术术语标准),一般列3~5个,按词条的外延层次从大到小排列,应在摘要中出现。 1.3 目录 目录独立成页,包括论文中全部章、节的标题及页码。目录中的标题应与正文中的标题一致,附录也应依次列入目录。 1.4 论文正文 论文正文包括绪论、论文主体及结论等部分。 1.4.1 绪论 绪论应综合评述前人工作,说明论文工作的选题目的、背景和意义、国内外文献综述以及论文所要研究的主要内容。对所研究问题的认识,以及提出问题。 1.4.2 论文主体 论文主体是论文的主要部分,应该结构合理,层次清楚,重点突出,文字简练、通顺。 1.4.3 结论(结果与分析) 结论是对整个论文主要成果的归纳,应突出论文(设计)的创新点,以简练的文字对论文的主要工作进行评价。若不可能导出应有的结论,则进行必要的讨论。可以在结论或讨论中提出建议、研究设想及尚待解决的问题等等。 1.5 致谢 向给予指导、合作、支持及协助完成研究工作的单位、组织或个人致谢,内容应简洁明了、实事求是,避免俗套。

交通灯设计 毕业论文

1 绪论 今天,红绿灯安装在各个路口上,已经成为指挥交通车辆最普遍的交通技术。但是这种技术早在19世纪就已经出现了。 世界上最早的交通信号灯出现于1858年,在英国伦敦道口上安装了以燃煤气为光源的红,蓝两色的机械扳手式交通信号灯,用以指挥车辆的通行。接着1868年,在英国伦敦威斯敏斯特区的议会大厦前的广场上,出现了世界上最早的煤气红绿灯。再到20世纪初,美国出现了以电气启动的红绿灯,这种红绿灯由红黄绿三种颜色圆形的投光器组成。红灯亮表示禁止车辆通行,绿灯亮表示允许车辆通行,黄灯闪烁表示警告。到了20世纪中期相继出现了带有各种红外线的红绿灯、压力探测红绿灯、扩音器红绿灯等各种交通信号灯。 交通信号灯的出现,使交通规则得到了很大的改善,对于车辆的管理和通行,交通事故的发生得到了明显的减少。1968年,联合国《道路交通和道路标志信号协定》对各种交通信号灯做了明确的规定。绿灯表示通行,在绿灯下,车辆向相应的方向行驶,除非另一种标志禁止某一种转向。红灯表示禁止,在红灯下,车辆必须在相应的停车线后停车。黄灯表示警告,在黄灯下,已经穿越停车线的车辆和行人应继续向前,而为超出停车线的车辆在停车线后等待。对于左转和右转的车辆在通过道口时,应先让在道口上行驶的车辆或者人行道行走的行人优先通行。 随着经济的快速发展,交通运输中出现了一些传统方法难以解决的问题。如:道路拥堵、塞车、交通事故等现象越来越严重,直接造成的经济损失也越来越大,而且还在以一定的速率在不断的增长。由于人民生活水平的提高,人们对交通安全也提出了更高的要求。在交通中管理引入单片机交通灯控制代替交管人员在交叉路口服务,提高交通的管理质量和服务要求,同时也提高了交通运输的安全性。并在一定程度上尽可能的降低由道路拥堵造成的经济损失,同时也大大的降低了人力资源的消耗。 中国是世界人口大国,而中国的车辆也在不断的增加,交通灯的管理控制更是起着重要的作用,而智能交通灯的出现更是发挥了他举足轻重的低位。它不仅可以替代了更多的人力资源,从而也带来了更多的经济和社会效益,为创造美好城市发挥着更大的作用。

基于FPGA的数字频率计设计毕业论文

武汉轻工大学 毕业设计外文参考文献译文本 2013届 原文出处:from Vin Skahill.VHDL for Programmable Logic page 76-88 毕业设计题目:基于FPGA的数字频率计设计 院(系):电气与电子工程学院 专业名称:电子信息科学与技术 学生姓名: 学生学号: 指导教师:

Introduction of digital frequency meter Digital Frequency is an indispensable instrument of communications equipment, audio and video, and other areas of scientific research and production . In addition to the plastic part of the measured signal, and digital key for a part of the show, all the digital frequency using Verilog HDL designed and implemented achieve in an FPGA chip. The entire system is very lean, flexible and have a modification of the scene. 1 、And other precision measuring frequency Principle Frequency measurement methods can be divided into two kinds: (1) direct measurement method, that is, at a certain time measurement gate measured pulse signal number. (2) indirect measurements, such as the cycle frequency measurement, VF conversion law. Frequency Measurement indirect measurement method applies only to low-frequency signals. Based on the principles of traditional frequency measurement of the frequency of measurement accuracy will be measured with the decline in signal frequency decreases in the more practical limitations, such as the accuracy and frequency of measurement not only has high accuracy, but also in the whole frequency region to maintain constant test accuracy. The main method of measurement frequency measurement Preferences gated signal GATE issued by the MCU, GATE time width on the frequency measurement accuracy of less impact, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M Signals are not overflow line, in accordance with the theoretical calculation GATE time can be greater than the width Tc 42.94 s, but due to the single-chip microcomputer data processing capacity constraints, the actual width of less time, generally in the range of between 0.1 s choice, that is, high-frequency, shorter gate;, low gate longer. This time gate width Tc based on the size of the measured frequency automatically adjust frequency measurement in order to achieve the automatic conversion range, and expanded the range of frequency measurement; realization of the entire scope of measurement accuracy, reduce the low-frequency measurement error. The design of the main methods of measuring the frequency measurement and control block diagram as shown in Figure 1. Figure 1 Preferences gated signal GA TE issued by the MCU, GA TE time width of less frequency measurement accuracy, in the larger context of choice, as long as the FPGA in 32 of 100 in the counter b M

基于FPGA的交通灯课程设计报告

总体设计要求和技术要点 1.任务及要求 (1)设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。 (2)红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。 (3)主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。 (4)主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。 (5)在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。 (6)按《湖南涉外经济学院课程设计管理办法》要求提交课程设计报告。 工作内容及时间进度安排 第17周:周1---周2 :立题、论证方案设计 周3---周5 :程序设计与调试 第18周:周1---周3 :硬件调试与测试、撰写课程设计报告 周4---周5 :验收答辩 课程设计成果 1.与设计内容对应的软件程序 2.课程设计总结报告

摘要 本实验为自主选题设计实验,实验选择具有倒计时显示功能的红黄绿三色交通设计,实验中采用VHDL 作为设计功能描述语言,选用Altera公司的EP1K30144-PIN TQFP最为主控芯片,实验报告中简要介绍了FPGA器件,并给出了设计原理图,详细的介绍了交通灯的设计流程,实验报告中还附有实验代码实验结果照片图。 Abstract This experiment designed for independent choice experiment, experiment choice which has the function of the countdown display red yellow green traffic design, description language (VHDL as design function is applied in the experiments, the most main control chip select MAX II EPM240T100C5 Altera company, experiment report, this paper briefly introduces the MAX II device series, and gives the design diagram, detailed introduces the traffic lights of the design process, the experiment report with the code results photo graph.

(完整版)PLC交通灯毕业设计论文

题目:十字路口交通灯的设计与调 试 院系:机电工程 专业:应用电子技术 班级:应用电子技术(3)班 学号: 学生:晁祥义 辅导老师:李鸿征

2013年5月29号

摘要 PLC可编程序控制器是以微处理器为基础,综合了计算机技术、自动控制技术和通讯技术发展而来的一种新型工业控制装置。它具有结构简单、编程方便、可靠性高等优点,已广泛用于工业过程和位置的自动控制中。据统计,可编程控制器是工业自动化装置中应用最多的一种设备。专家认为,可编程控制器将成为今后工业控制的主要手段和重要的基础设备之一,PLC、机器人、CADCAM将成为工业生产的三大支柱。由于PLC具有对使用环境适应性强的特性,同时其内部定时器资源十分丰富,可对目前普遍使用的“渐进式”信号灯进行精确控制,特别对多岔路口的控制可方便地实现。因此现在越来越多地将PLC应用于交通灯系统中。同时,PLC本身还具有通讯联网功能,将同一条道路上的信号灯组成一局域网进行统一调度管理,可缩 短车辆通行等候时间,实现科学化管理. 关键词:交通灯 PLC 程序设计 目录 第一章 PLC的特点及应用 1.1 概述 可编程控制器(Programmable Controller)是计算机家族中的一员,

是为工业控制应用而设计制造的。早期的可编程控制器称作可编程逻辑控制器(Programmable Logic Controller),简称PLC,它主要用来代替继电器实现逻辑控制。随着技术的发展,这种装置的功能已经大大超过了逻辑控制的范围,因此,今天这种装置称作可编程控制器,简称PC。但是为了避免与个人计算机(Personal Computer)的简称混淆,所以将可编程控制器简称PLC。 1.2 PLC的特点 1可靠性高,抗干扰能力强; 2 通用性高,使用方便; 3程序设计简单,易学,易懂; 4采用先进的模块化结构,系统组合灵活方便; 5系统设计周期短; 6安装简便,调试方便,维护工作量小; 7对生产工艺改变适应性强,可进行柔性生产; 1.3 PLC的应用 目前,PLC在国内外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保及文化娱乐等各个行业,使用情况大致可归纳为如下几类。

大学本科毕业论文、毕业设计

山东大学本科毕业论文、毕业设计 工作管理条例(试行) 毕业论文、毕业设计教学过程是高等学校实现本科培养目标要求的重要培养阶段。毕业论文、毕业设计是在大学期间学生毕业前的最后学习阶段,是学习深化和提高的重要过程;是学生运用已学过知识的一次全面总结和综合训练;是学生素质与能力培养效果的全面检验;是对学生的毕业及学位资格进行认证的重要依据;是衡量教育质量和办学效益的重要评价内容。因此,搞好比业论文、毕业设计工作,对全面提高教学质量具有重要意义。为了加强对毕业论文、毕业设计工作的规范化管理,根据教育部有关规定和本科专业培养计划的要求,结合我校实际情况,特制定本条例。 本条例适用于全日制本科生毕业论文、毕业设计,全日制专科生毕业论文、毕业设计亦可参照执行。 一、目的和要求 (一)目的 毕业论文是高等学校的应届毕业生在毕业前所撰写的学位论文,表明作者在科学研究工作中取得的新成果和新见解,反映作者具有的科研能力和学识水平。毕业设计是高等学校技术科学与工程技术专业的应届毕业生在毕业前接受课题任务,进行实践的过程及取得的成果。毕业论文、毕业设计的目的是培养学生综合运用所学的基础理论、专业知识和基本技能,提高分析和解决实际问题的能力,使学生在知识、能力素质方面得到综合训练、转化和提高。 (二)要求 各院(部)要加强对毕业论文、毕业设计工作的领导。在毕业论文、毕业设计工作中,要认真贯穿“三个结合”的原则:理论与实践相结合,教学与科研、生产相结合,教育与国民经济建设相结合。通过三个结合,实现毕业论文、毕业设计的教学、教育功能和社会功能。按照高等学校人才培养目标和毕业论文、毕业设计工作教学目标的基本要求,重视学生多学科的理论、知识和技能等综合运用能力的实际训练,加强学生创新意识和创造能力的培养,不断提高毕业论文、毕业设计质量、人才培养质量及教学管理工作水平。 搞好毕业论文、毕业设计工作的关键在于指导教师。各院(部)要采取有效措施,加强指导教师队伍的建设,按要求选配好指导教师,并充分发挥指导教师的作用。要加强对学生毕业论文、毕业设计的选题、指导、答辩、成绩评定等各个环节的质量检查,切实保证毕业论文、毕业设计的质量。 除医学类部分专业(如临床医学、口腔医学、护理学等专业)外,其余专业都要进行毕业论文、毕业设计工作。 二、进程安排

FPGA毕业设计论文英文

[1] Using FPGA technology towards the design of an adaptive fault tolerant framework Erdogan, Sevki (University of Hawaii); Gersting, Judith L.; Shaneyfelt, Ted; Duke, Eugene L. Source: Conference Proceedings - IEEE International Conference on Systems, Man and Cybernetics, v 4, IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, 2005, p 3823-3827 ISSN: 1062-922X CODEN: PICYE3 Conference: IEEE Systems, Man and Cybernetics Society, Proceedings - 2005 International Conference on Systems, Man and Cybernetics, Oct 10-12 2005, Waikoloa, HI, United States Sponsor: IEEE Systems, Man and Cybernetics Society Publisher: Institute of Electrical and Electronics Engineers Inc. Abstract: In this paper we propose architecture for a Reconfigurable, Adaptive, Fault-Tolerant (RAFT) framework for application in real time systems with require multiple levels of redundancy and protection. Typical application environments include distributed processing, fault-tolerant computation, and mission and safety-critical systems. The framework uses Field Programmable Gate Array (FPGA) technologies with on the fly partial programmability achieving reconfiguration of a system component when the existing components fail or to provide extra reliability as required in the specification. The framework proposes the use an array of FPGA devices to implement a system that, after detecting an error caused by a fault, can adaptively reconfigure itself to achieve fault tolerance. The FPGAs that are becoming widely available at a low cost are exploited by defining a system model that allows the system user to define various levels of reliability choices, providing a monitoring layer for the system engineer. ? 2005 IEEE. (21 refs.) [2]METHOD FOR PROTECTING COMPUTER THROUGH REAL-TIME MONITORING BY PROTECTING EXECUTION FILE, AND COMPUTER AND SYSTEM PROTECTED BY THE SAME Patent number: KR20040083409 Publication date: 2004-10-01 Inventor: AHN MU GYEONG Applicant: SAFEI CO LTD Classification: - international: G06F11/30; G06F11/30; (IPC1-7): G06F11/30 - european: Application number: KR20040072633 20040910 Priority number(s): KR20040072633 20040910 View INPADOC patent family View forward citations

相关主题
文本预览
相关文档 最新文档