当前位置:文档之家› 数字电子技术教材

数字电子技术教材

数字电子技术教材
数字电子技术教材

第一章数制与编码

这一章主要讲述的内容是在数字设备中进行算术运算的基本知识--数制和一些常用的编码。它是这门课程的基础。

我们在学习时把这一章的内容分为五节,它们分别是:

§1、1 进位计数制

§1、2 数值转换

§1、3 二进制数的算术运算

§1、4 数的原码、反码及补码

§1、5 编码

§1、1 进位计数制

这一节我们来学习进位计数制的概念和一些常用的进位计数制。

一:进位计数制

它的概念描述为:把数划分为不同的位数,逐位累加,加到一定数量之后,再从零开始,同时向高位进位。

进位计数制有三个要素:数符、进位规律和进位基数。

什麽是进位基数呢?即计数制中每个数位所使用的数码符号的总数,它又被称为进位模数。

我们经常把数用每位权值与该位的数码相乘展开。当某位的数码为“1”时所表征的数值即该位的权值。

例1:我们把十六进制数N=(1FA3.B3)H按权展开式子

为?

N=1*163+15*162+10*161+3*160+11*16-1+3*16-2

二:常用的进位计数制

我们用进位计数制的三要素来描述一下二进制、八进制、十进制和十六进制。如下表所示:

§1、2数制转换

在数字设备中计数用的是二进制,但我们计数一般采用十进制,那它们之间是怎样转换的呢?

一:其它进制转换为十进制

方法是:将其它进制按权位展开,然后各项相加,就得到相应的十进制数。

例1:N=(10110.101)B=(?)D

按权展开N=1*24+0*23+1*22+1*21+0*20+1*2-1+0*2-2+1*2 -3

=16+4+2+0.5+0.125=(22.625)D

二:将十进制转换成其它进制

方法是:它是分两部分进行的即整数部分和小数部分。

整数部分:(基数除法)

把我们要转换的数除以新的进制的基数,把余数作为新进制的最低位;

把上一次得的商在除以新的进制基数,把余数作为新进制的次低位;

继续上一步,直到最后的商为零,这时的余数就是新进制的最高位.

小数部分:(基数乘法)

把要转换数的小数部分乘以新进制的基数,把得到的整数部分作为新进制小数部分的最高位

把上一步得的小数部分再乘以新进制的基数,把整数部分作为新进制小数部分的次高位;

继续上一步,直到小数部分变成零为止。或者达到预定的要求也可以。

例2 :N=(68.125)D=(?)O

整数部分小数部分

(68.125)D=(104.1)O

三:二进制与八进制、十六进制的相互转换

二进制转换为八进制、十六进制:它们之间满足23和24的关系,因此把要转换的二进制从低位到高位每3位或4位一组,高位不足时在有效位前面添“0”,然后把每组二进制数转换成八进制或十六进制即可

八进制、十六进制转换为二进制时,把上面的过程逆过来即可。

例3:N=(C1B)H=(?)B

(C1B)H=1100/0001/1011=(110000011011)B

§1、3二进制数的算术运算

我们知道十进制可以进行四则运算,那麽二进制能否进行四则运算?答案是肯定的。

一:二进制的四则运算

二进制也可以进行四则运算,它的运算规则如下所示:

加运算0+0=0,0+1=1,1+0=1,1+1=10 逢2进1

减运算1-1=0,1-0=1,0-0=1,0-1=1(向高位借1当2)

乘运算0*0=0,0*1=0,1*0=0,1*1=1

除运算二进制只有两个数(0,1),因此它的商是1或0.

例1:求(1011101)B与(0010011)B之和例2:求(1101)B与(0101)B的乘积

通过例(1)我们再来介绍两个概念:半加和全加。

半加是最低位的加数和被加数相加时,不考虑低位向本位进位。

全加是加数和被加数相加时,我们还要考虑低位向本位的进位。

原码,反码及补码

我们知道在生活中,数是有正负之分,在数字设备中是怎样表示数的正负符号呢?

一:数的表示形式

在生活中表示数的时候一般都是把正数前面加一个“+”,负数前面加一个“-”,但是在数字设备中,机器是不认识这些的,我们就把“+”

用“0”表示,“-”用“1”表示。原码、反码和补码。这三种形式是怎样表示的呢?如下所示:

真值 原码 反码 补码 例1:求+12和-12八位原码、反码、补码形式

它们的原码分别为

[+12]=00001100[-12]=100011

它们的反码分别为[+12]*=00001100 [-12]*=(28-1)+(-1100)=11110011 它们的补码分别为[+12]**=00001100 [-12]**=28+(-1100)=11110100

正数

+X

0X

0X

0X

负数 -X 1X (2n

-1)+X

2n

+X

二:原码、反码及补码的算术运算

因为这三种数码表示法的形成规则不同,所以算术运算方法也不相同。

原码:与我们的日常中算术运算相同。

反码:先转换为反码形式,再进行加减运算。它的减法可以按A 反

+[-

B]反的形式进行

.

补码:先转换为补码形式,再进行加减运算,其减法可以按A

+[-B]

进行.

三:溢出及补码运算中溢出的判断

溢出可以描述为运算结果大于数字设备的表示范围。这种现象应当作故障处理。

判断溢出是根据最高位的进位来判断的。

编码

指定某一组二进制数去代表某一指定的信息,就称为编码。

一:二——十进制(BCD)码

用二进制码表示的十进制数,就称为BCD码。它具有二进制的形式,还具有十进制的特点它可作为人们与数字系统的联系的一种间表示。BCD码分为有权和无权编码。

(1)有权BCD码:每一位十进制数符均用一组四位二进制码来表示,而且二进制码的每一位都有固定权值.下面我们用表列出几种常见的编码:

(2)无权BCD码:二进制码中每一位都没有固定的权值。

二:奇偶校验码

在数据的存取、运算和传送过程中,难免会发生错误,把“1”错成“0”或把“0”错成“1”。奇偶校验码是一种能检验这种错误的代码。它分为两部分;信息位和奇偶校验位。

有奇数个“1”称为奇校验,有偶数个“1”则称为偶校验。

基本逻辑运算及集成逻辑门

第二章基本逻辑运算及集成逻辑门

这一章我们学习的重点是数字设备进行逻辑运算的基本知识:基本逻辑运算和实现这些运算的门电路。它是本课程的基础,我们要掌握好!

在学习时,我们把它的内容分为:

§2、1 基本概念

§2、2 三种基本逻辑运算

§2、3 常用的复合逻辑

§2、4 集成逻辑门

§2、1基本概念

这一节来了解一下逻辑函数、逻辑变量和真值表的概念。

一:逻辑变量与逻辑函数

我们作某些事情,总是先对事情判断一下,然后再根据判断的结论去做。

例如我们吃饭,总是先判断:‘饭做好了吗?’:‘人到齐了吗?’:‘餐桌准备好了吗?’,只有上面的条件都满足了,我们才可以吃饭,否则就不能。

我们把用逻辑语言描述的条件称为逻辑命题,其中的每个逻辑条件我们都称为逻辑变量,我们一般用字母A、B、C、D、、、、、、等表示。把逻辑变量写成函数的形式就称为逻辑函数。

例如:我们把上面我们提到的问题的条件分别用A、B、C表示,那麽它的逻辑函数可表示为:

F=f(A、B、C)

二:真值表

因为逻辑变量只有两种取值0或1,所以我们可以用一种表格来描述逻辑函数的真假关系,我们就称这种表格为真值表。

例如:列出“能吃饭吗?”的真值表。设条件满足为1,不满足为0,我们知一个逻辑变量,有两种组合,三个逻辑变量就有八种组合。所以其真值表为:

§2、2三种基本的逻辑运算

在实际中我们遇到的逻辑问题是多种多样的,其实它们可以用三种基本的逻辑运算把它们概括出来。它们就是‘与’‘或’‘非’逻辑运算。

下面我们用表格来描述一下它们:

0*1=0

0+1=1

§2、3 常用的复合逻辑

通过上一节的学习我们已经知道逻辑代数中有三种基本的逻辑运算,事实上我们总是希望用较少的器件来实现较多的功能,所以我们就要用到复合逻辑。

一:常用的复合逻辑

经常用到的复合逻辑有三种:它们是“与非”、“或非”、“与或非”。

二:异或”逻辑和“同或”逻辑

有时我们还会用到“异或”逻辑和“同或”逻辑,它们都是两变量的逻辑函数。 “异或”逻辑指输入二变量相异时输出为“1”,相同时输出为“0”。 它的逻辑表达式为:

,逻辑符号为:

“同或”逻辑指输入二变量相同时输出位“1”,相异时输出位“0”。 它的逻辑表达式为:

,逻辑符号为:

三:正负逻辑

由于我们的规定不同,逻辑的输入端取值也不相同。我们把输入为正称为正逻辑,输入为负的称为负逻辑。因为我们在逻辑电路中,大多采用硅管,用的是正电源,所以我们一般采用正逻辑。

§2、4 集成逻辑门

这一节我们来学习由晶体管组成的集成逻辑门的一些基本知识。

集成逻辑门分为两种即双极型集成电路和单极型集成电路。双极型集成电路分为:DTL 集成逻辑和TTL 集成逻辑;单极型集成电路分为一般MOS 逻辑和互补MOS 逻辑(CMOS )。 一:双极型集成电路

它的特点是:工作速度高,易于做成大规模集成电路,功耗低等。我们来简单介

绍一下双极型集成电路的两种形式(1)TTL集电极开路门(OC门)(2)三态门。1)TTL集电极开路门(OC门),它的特点是能实现“线与”功能,可以节省门数,减少输出门的级数

它可应用在数据总线上。当每个OC门只要有一个输入端为低电平时,OC门的输出均为高电平。

(2)三态门;它的特点是输出端除了高电平、低电平两种状态外还有第三种状态:高阻状态或禁止状态。

例1:如右图所示的三态门,试分析三态门各种输出情况。

当E为高电平时输出端F为高阻状态

当E为低电平是输出端F=AB

由此我们可以看出三态门的输出端的情况与控制端有关,只有控制端为导通时输入端才有效。

二:单极型集成电路

它的特点是:高、低电平都很理想;功耗很低,近似为“0”,任意时刻都有一个关闭;抗干扰能力强;兼容性强

例2:如右图试分析输入控制端的情况。

通过电阻接地时:电阻小于等于700欧姆时相当于输入为:“0”;当电阻大于

等于2000欧姆时相当于输入为:“1”

当输入控制端悬空时相当于“1”

接高电平U时相当于“1”

接地时相当于“0”

第三章布尔代数与逻辑函数化简

这一章主要是讲布尔代数和逻辑函数化简。在布尔代数中是把逻辑矛盾的一方假定为"0",另一方假定为"1"这样就把逻辑问题数字化了。逻辑函数的化简也就是运用布尔代数的性质来进行化简。这一章是这门课程的重点,我们一点要掌握好!

我们在学习时把这一章的内容分为:

§3、1 基本公式和规则

§3、2 逻辑函数的代数法化简

§3、3 卡诺图化简

§3、1布尔代数的基本公式和规则

一:布尔代数的基本公式

下面我们用表格来列出它的基本公式:

下面我们来证明其中的两条定律:

(1)证明:吸收律1第二式AB+AB=A

左式=AB+AB=A(B+B)=A=右式(因为B+B=1)

(2)证明:多余项定律AB+AC+BC=AB+AC

左式=AB+AC+BC=AB+AC+BC(A+A)=AB+AC+ABC+ABC

=AB(1+C)+AC(1+B)=AB+AC=右式证毕

注意:求反律又称为摩根定律,它在逻辑代数中十分重要的。

二:布尔代数的基本规则

代入法则它可描述为逻辑代数式中的任何变量A,都可用另一个函数Z代

替,等式仍然成立。

对偶法则它可描述为对任何一个逻辑表达式F,如果将其中的“+”换成“*”,

“*”换成“+”“1”换成“0”,“0”换成“1”,仍保持原来的逻辑优先级,则可得到原函数F的对偶式G,而且F与G互为对偶式。我们可以看出基本公式是成对出现的,二都互为对偶式。

反演法则有原函数求反函数就称为反演(利用摩根定律),

我们可以把反演法则这样描述:将原函数F中的“*”换成“+”,“+”换成“*”,“0”换成“1”,“1”换成“0”;原变量换成反变量,反变量换成原变量,长非号即两个或两个以上变量的非号不变,就得到原函数的反函数。

§3、2逻辑函数的代数法化简

逻辑函数化简的方法有两种,分别是代数法和卡诺图法。这一节我们来学习:代数法化简。

我们先来了解一个概念,什麽是逻辑电路图?逻辑电路图就是用逻辑门组成的电路图。

一:逻辑函数化简的基本原则

逻辑函数化简,没有严格的原则,它一般是依以下几个方面进行:逻辑电路所用的门最少;

各个门的输入端要少;

逻辑电路所用的级数要少;

逻辑电路要能可靠的工作。

这几条常常是互相矛盾的,化简要根据实际情况来进行。下面我们来用例题说明一下:

例1:化简函数F=AB+CD+AB+CD,并用基本逻辑门实现。

(1)先化简逻辑函数F=AB+CD+AB+CD=A(B+B)+D(C+C)=A+ D

(2)用逻辑门实现:(由化简来看只需一个与门)

二:逻辑函数的形式和逻辑变换

逻辑函数的形式很多,一个逻辑问题可以用多种形式的逻辑函数来描述。

逻辑函数的表达式可分为五种:

1."与或"表达式

2."或与"表达式

3."与非"表达式

4."或非"表达式

5."与或非"表达式。这几种表达式之间可以互相转换,应根据要求把逻辑函数化简成我们所需要的形式。

第四章组合逻辑电路

数字电路分为组合逻辑电路和时序逻辑电路两类,组合逻辑电路的特点是输出信号只是该时的输入信号的函数,与别时刻的输入状态无关,它是无记忆功能的。这一章我们来学习组合逻辑电路。这一章是本课程的重点内容之一

我们在学习时把这一章的内容分为:

§4、1 逻辑电路的分析

§4、2 逻辑电路的设计

§4、3 常用的组合逻辑

§4、1组合逻辑电路的分析

一:组合逻辑电路的分析

我们对组合逻辑电路的分析分以下几个步骤:

(1):有给定的逻辑电路图,写出输出端的逻辑表达式;

(2):列出真值表;

(3):通过真值表概括出逻辑功能,看原电路是不是最理想,若不是,则对其进行改进;

例1:已知右面的逻辑电路图,试分析其功能。

第一步:写逻辑表达式。我们由前级到后级写出各门逻辑表达式。

P=A+B S=A+P=AB W=B+P=AB

F=S+W=AB+A B

第二步:列真值表(如右图所示)。

第三步:逻辑功能描述并改进设计。

从真值表中可以看出这是一个二变量“同或”电路。原电路设计不合理,它只需一个"同或"门即可.

§4、2组合逻辑电路的设计

一:组合电路逻辑电路的设计

电路设计的任务就是根据功能设计电路,一般按如下的

步骤进行:

(1)把逻辑命题换为真值表;这一步我们要从以下几个

反面考虑

用英文字母代表输入或输出;

分清几个输入、输出;

分清输入和输出之间的对应关系。

(2)把逻辑函数进行化简,化简的形式则是根据所选用的逻辑门来决定;

(3)根据化简结果和所选定的门电路,画出逻辑电路图。

例:设计三变量表决器,其中X具

有否决权。

第一步:列出真值表。(如右上图)

设X、Y、Z分别代表参加表决的变

量;F为表决结果,

我们把变量规定为:X、Y、Z为1表示赞成;为0表示反对。

F为1表示通过;为0表示被否决。

第二步:化简逻辑函数。

我们选用与非逻辑来实现。用卡诺图来化简(如右中图)F=

第三步:画逻辑电路。(如右图)

§4、3 常用的组合逻辑

常用组合逻辑的种类很多,主要有全加器、译码器、编码器、多路选择器等,下面我们分别把它们介绍一下。

一:半加器和全加器

在数字系统中算术运算都是利用加法进行的,因此加法器是数字系统中最基本的运算单元。由于二进制运算可以用逻辑运算来表示,因此我们可以用逻辑设计的方法来设计运算电路。加法在数字系统中分为全加和半加(第一章我们已经介绍了)所以加法器也分为全加器和半加器。

(1)半加器设计

半加器不考虑低位向本位的进位,因此它有两个输入端和两个输出端。

设加数(输入端)为A、B ;和为S ;向高位的进位为C i+1

它的真值表为:如右图所示

函数的逻辑表达式为:S=AB+AB ;C i+1=AB

逻辑电路图(用异或门和与门构成)为:如右图(2)所示

(2)全加器的设计(它的逻辑符号为图(3)

所示)由于全加器考虑低位向高位的进位,所以它有三个输入端和两个输出端。

设输入变量为(加数)A、B、C i-1

,输出变量为S、C i+1

它的真值表为:如图(4)所示

函数的逻辑表达式为:S=ABC i-1+ABC i-1+ABC i-1+ABC i-1=A B C i-1

C i+1=ABC i-1+ABC i-1+ABC i-1+ABC i-1 =(A B)C i-1+AB

逻辑电路图(用异或和与门构成)为:如图(5)所示

(3)全加器的应用

因为加法器是数字系统中最基本的逻辑器件,所以它的应用很广。它可用于二进制的减法运算、乘法运算,BCD码的加、减法,码组变换,数码比较等。

例1:用全加器构成二进制减法器。

以四位二进制为例。(减法可转换为加补运算)

设两组四位二进制分别为X3X2X1X0和Y3Y2Y1Y0,把Y3Y2Y1Y0先进行求补然后再进行加法运算。

因为求补是逐位求反后再加“1”所以它的逻辑电路图为如图(6)所示:

例2:采用四位全加器完成8421BCD码转换为余3代码。

由于8421BCD码加0011即为余3代码,因此转换电路就是加法电路。

设8421BCD码四位又高位到低位为M3、M2、M1、M0,余3代码的四位由高到低为C3、C2、C1、C0。

它的逻辑电路图为如图(7)所示:

二:编码器和译码器

指定二进制代码代表特定的信号的过程就叫编码。把某一组二进制代码的特定含义译出的过程叫译码。

(1)编码器因为n位二进制数码有2n种状态,所以它可代表2n组信息。我们在编码过程中一般是采用编码矩阵和编码表,编码矩阵就是在卡诺图上指定每一方格代表某一自然数,把这些自然数填入相应的方格。

例1:把0、1、2、...、9编为5421BCD码.

先来确定编码表如图(1)所示和编码矩阵如图(2)所示:

由编码表确定各输出端的逻辑表达式是:

A=5+6+7+8+9

B=4+9

C=2+3+7+8

D=1+3+6+8

根据这些表达式可用或门组成

逻辑电路如图(3)所示:

(2):译码器编码的逆过程就是译码。

译码就是把代码译为一定的输出信号,以表示它的原意。实现译码的电路就

是译码器。

译码器可分为二进制译码器、十进制译码器、集成译码器和数字显示译码驱动电路。其中二进制译码器是一种最简单的变量译码器,它的输出端全是最小项。

例2:设计一译码电路把8421BCD码的0、1、2、...、9译出来.

四位二进制有十六种状态,而实际只需要十种,因此其余项作无关项考虑.

其编码矩阵为如图(4)所示.

我们通过编码矩阵可得如下译码关系:如图(5)所示.

所以它的逻辑电路图为(用与门和与非门实现)

如图(6)所示:

集成译码器的工作原理与其它译码器一样,但它有它的特点.

它的特点为:

输入采用缓冲级;(减轻信号负载)

输出为反码;低电平有效(减轻输出功率)

增加了使能端.(便于扩展功能)

目前常用的典型的集成译码器是三------八译码器。

它的逻辑符号为.如图(7)所示: 注:其中E0E1E2为使能端,只有当E1、E2为0时E 为1时此译码器才工作。

三:数据选择器和多路分配器

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

数字电子技术教学大纲资料

一.本课程的教学目的、基本要求及其在教学计划中的地位: 数字电子技术基础课程是一门用以培养学生电子技术入门性质的技术基础课,本课程主要研究常用基本的半导体元器件的工作原理,基本的电子电路的原理和应用。通过课程的学习,使学生能够较好地掌握电子技术的基本理论、基本知识和基本分析问题的方法。其主要任务是培养学生: 1 .掌握电子技术课程的基本理论、基本知识和基本分析问题的方法。了解电子技术的新发展,新技术。 2 .正确掌握电子技术的课程内容,能够分析由几个单元电路组成的小电子电路系统。理论联系实际,具有创新精神。 3 .具有运用计算机分析和设计简单电子电路的能力,掌握用计算机分析电子电路的新方法。 4 .具有较强的实验能力,会使用常规的电子仪器,会通过实验安装调试电子电路,具有进行实验研究的初步能力。 5 .具有较强的查阅电子技术资料的能力和从网络上获取有关信息的能力。 数字电子技术基础课程是高等工科院校中电气信息类专业的一门必修课程,在教学过程中综合运用先修课程中所学到的有关知识与技能,结合各种实践教学环节,进行多种教学活动。为学生进一步学习有关专业课程和日后从事专业工作打下基础,因此本课程在后续课程中占有很重要的地位。 二.本课程的主要内容、各章节内容及其学时安排: 本课程的主要内容包括基本的半导体元器件、各种常用电子电路的工作原理和应用等内容。 第 1 章逻辑代数( 4 学时) 数字信号的特点、双值逻辑系统的概念。数字电路描述的数学工具——逻辑代数的运算定理和规则,以及逻辑函数的化简和变换等内容。 第 2 章集成逻辑门电路( 6 学时) TTL 和 COS 两大类型的逻辑门的工作原理、特性曲线和参数指标,对常用的几个系列逻辑门,以及集电极开路门和三态门作了较详细的讨论和比较。 第 3 章组合数字电路( 10 学时) 组合数字电路的分析和设计方法,译码器、编码器、数据选择器、比较器等常用组合数字电路的工作原理和应用。

数字电子技术基础答案

Q 1 CP Q 1 Q 0 &&D 1D 0第一组: 计算题 一、(本题20分) 试写出图示逻辑电路的逻辑表达式,并化为最简与或式。 解:C B A B A F ++=C B A B A F ++= 二、(本题25分) 时序逻辑电路如图所示,已知初始状态Q 1Q 0=00。 (1)试写出各触发器的驱动方程; (2)列出状态转换顺序表; (3)说明电路的功能; 解:(1)100Q Q D =,101Q Q D =; (2)00→10→01 (3)三进制移位计数器 三、(本题30分)

由集成定时器555组成的电路如图所示,已知:R 1=R 2=10 k Ω,C =5μF 。 (1)说明电路的功能; (2)计算电路的周期和频率。 解:(1)多谐振荡器电路 (2)T 1=7s , T 2=3.5s 四、(本题25分) 用二进制计算器74LS161和8选1数据选择器连接的电路如图所示, (1)试列出74LS161的状态表; (2)指出是几进制计数器; (3)写出输出Z 的序列。 "1" 解: (1)状态表如图所示 (2)十进制计数器 (3)输出Z 的序列是0010001100 C R R CC u o

第二组: 计算题 一、(本题20分) 逻辑电路如图所示,试答: 1、写出逻辑式并转换为最简与或表达式,2、画出用“与”门及“或”门实现的逻辑图。 B 二、(本题25分) 试用与非门设计一个三人表决组合逻辑电路(输入为A、B、C,输出为F),要求在A有一票决定权的前提下遵照少数服从多数原则,即满足:1、A=1时,F一定等于1,2、A、B、C中有两2个以上等于1,则输出F=1。 试:(1)写出表决电路的真值表; (2)写出表决电路的逻辑表达式并化简; (3)画出用与非门设计的逻辑电路图。 解: (1)真值表

《数字电子技术基础》课后习题答案

《数字电路与逻辑设计》作业 教材:《数字电子技术基础》 (高等教育出版社,第2版,2012年第7次印刷)第一章: 自测题: 一、 1、小规模集成电路,中规模集成电路,大规模集成电路,超大规模集成电路 5、各位权系数之和,179 9、01100101,01100101,01100110; 11100101,10011010,10011011 二、 1、× 8、√ 10、× 三、 1、A 4、B 练习题: 1.3、解: (1) 十六进制转二进制: 4 5 C 0100 0101 1100 二进制转八进制:010 001 011 100 2 1 3 4 十六进制转十进制:(45C)16=4*162+5*161+12*160=(1116)10 所以:(45C)16=(10001011100)2=(2134)8=(1116)10 (2) 十六进制转二进制: 6 D E . C 8 0110 1101 1110 . 1100 1000 二进制转八进制:011 011 011 110 . 110 010 000 3 3 3 6 . 6 2 十六进制转十进制:(6DE.C8)16=6*162+13*161+14*160+13*16-1+8*16-2=(1758.78125)10 所以:(6DE.C8)16=(011011011110. 11001000)2=(3336.62)8=(1758.78125)10

(3) 十六进制转二进制:8 F E . F D 1000 1111 1110. 1111 1101二进制转八进制:100 011 111 110 . 111 111 010 4 3 7 6 . 7 7 2 十六进制转十进制: (8FE.FD)16=8*162+15*161+14*160+15*16-1+13*16-2=(2302.98828125)10 所以:(8FE.FD)16=(100011111110.11111101)2=(437 6.772)8=(2302.98828125)10 (4) 十六进制转二进制:7 9 E . F D 0111 1001 1110 . 1111 1101二进制转八进制:011 110 011 110 . 111 111 010 3 6 3 6 . 7 7 2 十六进制转十进制: (79E.FD)16=7*162+9*161+14*160+15*16-1+13*16-2=(1950. 98828125)10 所以:(8FE.FD)16=(011110011110.11111101)2=(3636.772)8=(1950.98828125)10 1.5、解: (74)10 =(0111 0100)8421BCD=(1010 0111)余3BCD (45.36)10 =(0100 0101.0011 0110)8421BCD=(0111 1000.0110 1001 )余3BCD (136.45)10 =(0001 0011 0110.0100 0101)8421BCD=(0100 0110 1001.0111 1000 )余3BCD (374.51)10 =(0011 0111 0100.0101 0001)8421BCD=(0110 1010 0111.1000 0100)余3BCD 1.8、解 (1)(+35)=(0 100011)原= (0 100011)补 (2)(+56 )=(0 111000)原= (0 111000)补 (3)(-26)=(1 11010)原= (1 11101)补 (4)(-67)=(1 1000011)原= (1 1000110)补

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A 卷 考试形式 闭 卷 考核类型 考试 本试卷共 4 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每小题2分,共10分) 1.二进制数(1011.1001)2转换为八进制数为 (13.41) ,转换为十六进为 B9 。 2.数字电路按照是否具有记忆功能通常可分为两类: 组合逻逻辑电路 、 时序逻辑电路 。 3.已知逻辑函数F =A ⊕B ,它的与非-与非表达式为 ,或与非表达式 为 。 4.5个变量可构成 32 个最小项,变量的每一种取值可使 1 个最小项的值为1。 5.555定时器构成的施密特触发器,若电源电压V CC =12V ,电压控制端经0.01μF 电容接地,则上触发电平U T+ = V ,下触发电平U T –= V 。 二、化简题:(每小题10分,共20分) 1.用代数法将下面的函数化为最简与或式:F=C ·[ABD BC BD A +++(B+C)D]

2. 用卡诺图法将下列函数化简为最简与或式: F(A 、B 、C 、D)=∑m (0,2,4,5,7,13)+∑d(8,9,10,11,14,15) 三、分析题:(每小题10分,共40分) 1.试分析题1图所示逻辑电路,写出逻辑表达式和真值表,表达式化简后再画出新的逻辑图。 题 1图 得分 评卷人

2.74161组成的电路如题 2 图所示,分析电路,并回答以下问题: (1)画出电路的状态转换图(Q 3Q 2Q 1Q 0); (2)说出电路的功能。(74161的功能见表) 题 2 图 …………………密……………………封…………………………装…………………订………………………线………………………

数字电子技术资料

和逻辑式相等的是()。 C. A (4分)下列门电路属于双极型的是() A. OC门 逻辑函数;其对偶函数为()。 B. 已知某触发器的时钟CP,异步置0端为RD,异步置1端为SD,控制输入端Vi和输出Q的波形如下图所示,根据波形可判断这个触发器是()。 D. 上升沿T触发器 下列所给三态门中;能实现C=0时;F=;C=1时;F为高阻态的逻辑功能 的是_________。 A. (4分)对于钟控RS触发器;若要求其输出“0”状态不变;则输入的RS信号应为() A. RS=X0 (4分)下列电路中;不属于组合逻辑电路的是()。 C. 寄存器 (4分)不需要外加输入信号而自动产生矩形脉冲信号的是( )。 D. 多谐振荡器 设图中所有触发器的初始状态皆为0;找出图中触发器在时钟信号作用下;输 出电压波形恒为0的是:()图。

C. (4分)下列几种说法中与BCD码的性质不符的是() C. BCD码是一组四位二进制数;能表示十六以内的任何一个十进制数。 (4分)为了把串行输入的数据转换为并行输出的数据;可以使用() C. 移位寄存器 (4分)用触发器设计一个24进制的计数器;至少需要( )个触发器。 D. 5 (4分)为实现“线与”逻辑功能;应选用()。 C. 集电极开路(OC)门 (4分)设某函数的表达式F=A+B;若用四选一数据选择器来设计;则数据端D0D1D2D3的状态是()。(设A为高位) A. 0111 已知;选出下列()可以肯定使F=1的情况。 D. BC=1;D=1 (4分)函数F=AB+BC;使F=1的输入ABC的组合为( )。 D. ABC=110 (4分)将TTL与非门作非门处理;则多余输入端应作( )处理。 A. 全部接高电平 (4分)用逻辑函数卡诺图化简中;四个相邻项可合并为一项;它能:() B. 消去2个表现形式不同的变量;保留相同变量 TTL 集成电路74LS138 是3/8线译码器,译码器为输出低电平有效,若输入 为A2 A1 A 0 =101 时,输出:为()。 B. 11011111 TTL与非门的扇出系数(即带同类门的个数)仅决定于其带灌电流负载的能 力。() 答案错 (2分)寄存器属于组合逻辑电路。() 答案错

数字电子技术基础习题及答案..

; 数字电子技术基础试题 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。【 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1

2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 ( C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 " 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。

图3 . A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、B、C、D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 \ A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术基础习题与答案

数字电子技术试卷(1) 一.填空(16) 1.十进制数123的二进制数是 1111011 ;十六进制数是 7B 。 2.1是8421BCD 码,其十进制为 861 。 3.逻辑代数的三种基本运算是 与 , 或 和 非 。 4.三态门的工作状态是 0 , 1 , 高阻 。 5.描述触发器逻辑功能的方法有 真值表,逻辑图,逻辑表达式,卡诺图,波形图 。 6.施密特触发器的主要应用是 波形的整形 。 7.设4位D/A 转换器的满度输出电压位30伏,则输入数字量为1010时的输出模拟电压为 。 8.实现A/D 转换的主要方法有 , , 。 三.化简逻辑函数(14) 1.用公式法化简- -+++=A D DCE BD B A Y ,化为最简与或表达式。 解;D B A Y +=- 2.用卡诺图化简∑∑=m d D C B A Y ),,,,()+,,,,(84210107653),,,(,化为最简与或表达式。 四.电路如图1所示,要求写出输出函数表达式,并说出其逻辑功能。(15) 解;C B A Y ⊕⊕=, C B A AB C )(1++=,全加器,Y 为和,1C 为进位。 五.触发器电路如图2(a ),(b )所示,⑴写出触发器的次态方程; ⑵对应给定波形画 出Q 端波形(设初态Q =0)(15) 解;(1)AQ Q Q n +=- +1,(2)、A Q n =+1 六.试用触发器和门电路设计一个同步的五进制计数器。(15) 七.用集成电路定时器555所构成的自激多谐振荡器电路如图3所示,试画出V O ,V C 的工作 波形,并求出振荡频率。(15)

数字电子技术基础第五版

数字电子技术基础第五版习题解答: 本书是为配合清华大学电子学教研组编、阎石主编的《数字电子技术基础》(第五版)教材的使用而编写的习题解答。书中除包含有《数字电子技术基础》(第五版)全部习题的详细解答以外,还含有各章习题的分类以及每种类型题目的解题方法和步骤等内容。 数字电子技术基础(第5版): 数字电子技术基础(第5版)》是2006年高等教育出版社出版的图书,作者是阎石、清华大学电子学教研组。 内容简介: 本书是普通高等教育“十五”国家级规划教材。本书以前各版曾分别获得北京市教育教学成果一等奖、国家教委优秀教材一等奖、国家级优秀教材奖。 新版教材是在基本保持第四版教材内容、理论体系和风格的基础上,按照教育部2004年修订的“数字电子技术基础课程教学基本要求”修订而成的。本次修订除改写了部分章节外,还增加了硬件描述语言和EDA软件应用的基础知识。此外,还在多数小节后面增设了复习思考题。为了便于教学,也为了便于读者今后阅读外文教材和使用外文版的EDA软件,书中采用了国际上流行的图形逻辑符号。 全书主要内容有:数制和码制、逻辑代数基础、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、硬件描述语言、脉冲波形的产生和整形、数-模和模-数转换等共11章。

本书可作为电气信息类、仪器仪表类各专业的教科书,也可供其他相关理工科专业选用以及社会选者阅读。 作者简介: 阎石,清华大学教授、全国高等学校电子技术研究会理事长。1937年生人。1958年毕业于清华大学自动控制系,其后一直在清华大学从事电子技术的教学与科研工作。曾任国家教委工科本科基础课程教学指导委员会第一、二届委员,华北地区高等学校电子技术教学研究会理事长。1989年与童诗白教授等一起获得普通高等学校优秀教学成果国家级特等奖。主编的《数字电子技术基础》第二版获国家教委优秀教材一等奖,第三版获国家优秀教材奖,第四版获北京市教育教学成果一等奖。 主要著作有:《数字电子技术基础》第一、二、三、四版,高等教育出版社分别于1981年、1984年、1989年、1998年出版;《电子技术基础学习指导》,辽宁科技出版社,1985年出版;《数字电子电路》,中央电大出版社,1993年出版;《数字电子技术荩础(第四版)教师手册》,高等教育出版社,2003年出版;《帮你学数字电子技术基础》,高等教育出版社,2004年出版。

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1) 二—十进制码(BCD码) 在这种编码中,用四位二进制数表示十进制数中的0~9十个数码。常用的编码有8421BCD码、5421BCD码和余3码。 8421BCD码是由四位二进制数0000到1111十六种组合中前十种组合,即0000~1001来代表十进制数0~9十个数码,每位二进制码具有固定的权值8、4、2、1,称有权码。 余3码是由8421BCD码加3(0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数的简化。 二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路呢?通常的步骤如下:

数字电子技术基础习题答案

数字电子技术基础习题答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n2 4. 逻辑代数卡诺图 5.) (D C B A F ) (D C B A F+ =' 6.) )( (C B D C B A F 7. 代数法卡诺图8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F= 1⊙B AB F 2 B A F+ = 3 1.5 A B L 0 0 1 0 1 0 1 0 0 1 1 1 1.6 C L=

1.7 AB C B A BC Y 习题 1.1 当0000 1 2 A A A ,7 A 到3 A 有1个不为0时,就可以 被十进制8整除 1.2 (a)AC BC AB F ++=1 (b )B A AB F +=2 (c)C B A S ⊕⊕= AC BC AB C 0 1.3略 1.4 (1) )(B A D C F )(1 ) )((1B A D C F ++=' (2) ) (B A B A F )(2 ) )((2B A B A F ++=' (3) E D C B A F 3 D E C AB F =' 3 (4) ) ()(4D A B A C E A F )( ) )()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L (2) D B C B D C A L (3) AD L (4) E ABCD L (5) 0 L 1.7 C B A B C A C AB ABC C B A L ),,( 1.8(1) ABD D A C F 1 (2) BC AB AC F 2 (3) C A B A B A F 3 (有多个答案) (4) C B D C AB C A C D F +++=4 (5) C B A ABD C B A D B A F 5 (6) 1 6 F 1.9 (1) AD D C B B A F 1 (2) B A A C F 2 (3) D A D B C B F 3 (4) B C F 4

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术复习资料全

第1章检测题(共100分,120分钟) 一、填空题:(每空0.5分,共25分) 1、N型半导体是在本征半导体中掺入极微量的五价元素组成的。这种半导体内的多数载流子为自由电子,少数载流子为空穴,不能移动的杂质离子带正电。P型半导体是在本征半导体中掺入极微量的三价元素组成的。这种半导体内的多数载流子为空穴,少数载流子为自由电子,不能移动的杂质离子带负电。 2、三极管的内部结构是由发射区、基区、集电区区及发射结和集电结组成的。三极管对外引出的电极分别是发射极、基极和集电极。 3、PN结正向偏置时,外电场的方向与内电场的方向相反,有利于多数载流子的扩散运动而不利于少数载流子的漂移;PN结反向偏置时,外电场的方向与内电场的方向一致,有利于少子的漂移运动而不利于多子的扩散,这种情况下的电流称为反向饱和电流。 4、PN结形成的过程中,P型半导体中的多数载流子由P向N区进行扩散,N型半导体中的多数载流子由N向P区进行扩散。扩散的结果使它们的交界处建立起一个空间电荷区,其方向由N区指向P区。空间电荷区的建立,对多数载流子的扩散起削弱作用,对少子的漂移起增强作用,当这两种运动达到动态平衡时,PN结形成。 5、检测二极管极性时,需用万用表欧姆挡的R×1K档位,当检测时表针偏转度较大时,与红表棒相接触的电极是二极管的阴极;与黑表棒相接触的电极是二极管的阳极。检测二极管好坏时,两表棒位置调换前后万用表指针偏转都很大时,说明二极管已经被击穿;两表棒位置调换前后万用表指针偏转都很小时,说明该二极管已经绝缘老化不通。 6、单极型晶体管又称为场效应(MOS)管。其导电沟道分有N沟道和P沟道。 7、稳压管是一种特殊物质制造的面接触型硅晶体二极管,正常工作应在特性曲线的反向击穿区。 8、MOS管在不使用时应避免栅极悬空,务必将各电极短接。 二、判断正误:(每小题1分,共10分) 1、P型半导体中不能移动的杂质离子带负电,说明P型半导体呈负电性。(错) 2、自由电子载流子填补空穴的“复合”运动产生空穴载流子。(对) 3、用万用表测试晶体管时,选择欧姆档R×10K档位。(错) 4、PN结正向偏置时,其内外电场方向一致。(错) 5、无论在任何情况下,三极管都具有电流放大能力。(错) 6、双极型晶体管是电流控件,单极型晶体管是电压控件。(对)

数字电子技术基础习题及答案

《数字电子技术》习题 一. 单项选择题: 1.十进制数128的8421BCD码是()。 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与或表达式 3. 已知函数的反演式为 ,其原函数为()。 A. B. C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的:(A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器 C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8

8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为 I OL(max)=10mA,输出高电平时最大输出电流为 I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: __________________________________________________________ _____; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5. 组合逻辑电路是指电路的输出仅由当前的_____________决定。 6. 5个地址输入端译码器,其译码输出信号最多应有 _____________个。 7. 输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做 _____________。 8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。 9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8. 基本RS触发器的约束条件是_____________。 三.电路分析题(36分)

最新数字电子技术基础教材第四章答案

习题4 4-1 分析图P4-1所示的各组合电路,写出输出函数表达式,列出真值表,说明电路的逻辑功能。 解:图(a ):1F AB =;2 F A B =;3F AB = 真值表如下表所示: A B 1F 2F 3F 0 0 0 1 0 0 1 0 0 1 1 0 1 0 0 1 1 1 其功能为一位比较器。A>B 时,11F =;A=B 时,21F =;A

功能:一位半加器,1F 为本位和,2F 为进位。 图(c ):1(0,3,5,6)(1,2,4,7)F M m = =∑∏ 2(0,1,2,4)(3,5,6,7)F M m ==∑∏ 真值表如下表所示: 功能:一位全加器,1F 为本位和,2F 为本位向高位的进位。 图(d ):1F AB =;2 F A B =;3F AB = 功能:为一位比较器,AB 时,3F =1 4-2 分析图P4-2所示的组合电路,写出输出函数表达式,列出真值表,指出该电路完成的逻辑功能。

解:该电路的输出逻辑函数表达式为: 100101102103F A A x A A x A A x A A x =+++ 因此该电路是一个四选一数据选择器,其真值表如下表所示: 1A 0A F 0 0 0x 0 1 1x 1 0 2x 1 1 3x 4-3 图P4-3是一个受M 控制的代码转换电路,当M =1时,完成4为二进制码至格雷码的转换;当M =0时,完成4为格雷码至二进制的转换。试分别写出0Y ,1Y ,2Y ,3Y 的逻辑函数的表达式,并列出真值表,说明该电路的工作原理。

数字电子技术基础答案

数字电子技术基础答案 第1章 自测题 1.1填空题 1. 100011.11 00110101.01110101 11110.01 1E.4 2. 4 3. n 2 4. 逻辑代数 卡诺图 5.)(D C B A F += )(D C B A F +=' 6.))((C B D C B A F +++= 7. 代数法 卡诺图 8. 1 1.2判断题 1. √ 2.√ 3. × 1.3选择题 1.B 2.C 3.C 1.4 A F =1⊙B AB F =2 B A F +=3 1.5 1.6 C L = 1.7 AB C B A BC Y ++= 习题 1.1 当000012=A A A ,7A 到3A 有1个不为0时,就可以被十进制8整除 1.2 (a)AC BC AB L ++=(b )B A AB L += (c)C B A S ⊕⊕= AC BC AB C ++=0 1.3略 1.4 (1) )(B A D C F ++=)(1 ))((1B A D C F ++=' (2) )(B A B A F ++=)(2 ))((2B A B A F ++=' (3) E D C B A F =3 DE C AB F =' 3

(4) )()(4D A B A C E A F +++=)( ))()((4D A C AB E A F +++=' 1.5 C B A F ⊕⊕= 1.6 (1) B A C B C A L ++= (2) D B C B D C A L +++= (3) AD L = (4) E ABCD L = (5) 0=L 1.7 C B A BC A C AB ABC C B A L +++=),,( 1.8(1) ABD D A C F ++=1 (2) BC AB AC F ++=2 (3) C A B A B A F ++=3 (有多个答案) (4) C B A D C AB C A CD F +++=4 (5) C B A ABD C B A D B A F +++=5 (6) 16=F 1.9 (1) AD D C B B A F ++=1 (2) B A AC F +=2 (3) D A D B C B F ++=3 (4) B C F +=4 1.10 (1) C A B F +=1 (2) B C F +=2 (3) D A B C F ++=3 (4) C B A D B D C F ++=4 1.11 C A B A D F ++= 1.12 (1) D B A D C A D C B F ++=1(多种答案) (2) C B BCD D C D B F +++=2 (3) C B C A D C F ++=3 (4) A B F +=4 (5) BD D B F +=5 (6) C B D A D C A F ++=6(多种答案) (7) C A D B F +=7(多种答案) (8) BC D B F +=8(多种答案) (9) B D C F +=9 1.13 略 第2章 自测题 2.1 判断题 1. √ 2. √ 3. × 4. √ 5. √ 6. √ 7. × 8. √ 9. × 10√ 2.2 选择题 1.A B 2.C D 3.A 4.B 5.B 6.A B D 7.C 8.A C D 9.A C D 10.B 习题 2.1解:ABC Y =1

相关主题
文本预览
相关文档 最新文档