当前位置:文档之家› 数字电路和FPGA

数字电路和FPGA

数字电路和FPGA
数字电路和FPGA

《数字电路与FPGA》

第一部分课程性质与任务

一、课程性质与特点

《数字电路与FPGA》是高等教育自学考试、通信工程专业的一门专业基础课,是在《电路分析》、《电子线路与pspice》课程知识的基础上开设的必修课程之一,本课程的学习为通信系统底层硬件电路设计自动化打下了坚实的基础。

本课程详细论述数字逻辑电路的基本知识和基本电路的工作原理与特性,重点介绍了组合逻辑电路,时序逻辑电路与可编程逻辑器件CPLD与FPGA,结合数字电路与系统在CPLD与FPGA上的实现详细介绍了CPLD与FPGA的硬件描述语言,并上机进行实践。通过学习可以使考生对上述内容有一个完整的、系统的认识,达到熟悉并掌握数字电路与FPGA的基本理论和人工与MAX+PLVSⅡ开发软件的分析与设计方法,为后续课程的学习打好基础。本大纲是根据教育部(天津市)制定的高等教育自学考试通信工程专业培养目标编写的,立足于培养高素质人才,适应通信工程专业的培养方向,本大纲的内容尽可能简明实用,便于自学。

二、课程目标与基本要求

本课程的目标和任务是使学生通过本课程的自学和辅导考试,进行有关数字电路和FPGA 的基本理论,基本知识与基本技能的考察和训练,并了解数字电路分析与设计的现代进程,为以后的学习和工作打下坚实的基础。

课程的基本要求如下:

1、掌握数字逻辑的基础知识:对各类数制的定义,表示方法,相互间的转换,码制与常用的编码,基本逻辑与复合逻辑的定义,表示符号能熟记并能灵活应用;对逻辑代数的基本运算公式、基本定理和逻辑函数的标准表达形式能正确理解,并能熟练的应用逻辑函数的化简方法。

2、理解TTL集成门电路和CMOS集成门电路的工作原理与逻辑功能,掌握各类组合逻辑电路的人工分析与设计方法并能采用EDA方法(即应用VHDL、Verilog或AHDL硬件描述语言)进行分析与设计。

3、理解各类触发器、波形变换与产生电路的组成与工作原理。

4、掌握各类时序逻辑电路的人工分析方法,并能熟练应用EDA的分析与设计方法。

5、了解程序逻辑电路的组成和应用,掌握半导体存储器的工作原理和使用方法。

6、掌握可编程逻辑器CPLD与FPGA的基本结构特点和使用场合的选择,了解它们的编程方法并能熟练应用。

7、了解三种硬件描述语言(VHDL、Verilog HDL和AHDL)、并能掌握其中一种用于CPLD 与FPGA的设计。

8、通过上机实验学习并掌握MAX+PLOSⅡ开发软件的上机操作以及完成数字电路与系统的分析设计全过程。

三、与本专业其他课程的关系

本课程在通信工程专业的教育计划中被列为专业基础课,在电路分析(或电工基理)、信号与系统、电子成路与PSpice与通信硬件电路课程之间有承前启后的相互联系作用,对全面掌握通信工程专业各学科的知识起重要的基础作用

第二部分考核内容与考核目标

第一章数字逻辑基础

一、学习目的与要求

通过本章学习了解模拟信号与数字信号的特点,掌握数制及其相互间的转换,码制与编码的基本概念和基本形式。理解逻辑代数的基本概念、掌握逻辑代数的基本公式和定理,以及逻辑函数的构成与表示方法。

二、考核知识点与考核目标

(一)数制(一般)

识记:二进制、八进制、十进制和十六进制数的定义与表示方法

(二)数制间的转换(一般)

应用:十进制数与N进制数的转换;N进制数与十进制数的转换;二进制数与八进制数间的转换;二进制数与十六进制数间的转换。

(三)码制与编码(一般)

识记:原码、反码和补码的定义表达方式及其应用

(四)逻辑代数的基本概念(一般)

识记:基本逻辑(与、或、非逻辑)、复合逻辑(与非、或非、与或非、异或和同或逻辑)的定义与逻辑符号的表达方式。

(五)逻辑代数的运算法则(一般)

理解:逻辑代数的基本运算公式、基本定理、逻辑变量和逻辑函数的标准形式。

应用:逻辑函数的公式简化法和长诺图简化法的规定及其应用。

第二章门电路

一、学习目的与要求

通过本章学习了解构成门电路的基本元件的开关特性,理解目前广泛使用的TTL集成门电路和CMOS集成门电路的工作原理与逻辑功能。了解发射极耦合逻辑电路ECL和集成注入逻辑电路I2L的基本特点。

二、考核知识点与考核目标

(一)晶体二极管和三极管的开关特性与分主元件门(次重点)

识记:各类分立元件门的逻辑符号与相应的真值表。

理解:晶体二极管和三极管的稳态开关特性和瞬态开关特性以各类门的逻辑功能。

(二)TTL集成逻辑门和MOS集成逻辑门(次重点)

识记:TTL集成逻辑门和MOS集成逻辑门的主要技术指标。

(工作速度、抗干扰能力和静态功耗)

理解:TTL集成逻辑门和MOS集成逻辑门的工作原理与外部特性以及各类门的逻辑功能。(三)TTL集成逻辑门和MOS集成逻辑门之间的连接(次重点)

应用:TTL集成逻辑门驱动MOS集成逻辑门与MOS集成逻辑门驱动TTL集成逻辑门。(四)ECL发射极耦合逻辑电路与I2L集成注入逻辑电路(一般)

识记:ECL与I2L的基本特点。

第三章组合逻辑电路

一、学习目的与要求

通过本章学习掌握组合逻辑电路的特点,组合逻辑电路的分析方法和设计方法。理解加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理与使用方法以及组合逻辑电路中的竞争——冒险的消除方法。

二、考核知识点与考核目标

(一)组合电路的基本知识(一般)

识记:组合逻辑电路的结构与特点;组合逻辑电路的一般分析方法与设计方法。

(二)常用的组合逻辑电路(重点)

识记:常组合逻辑电路和逻辑符号。

理解:加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的组成,工作原理与使用方法以及竞争——冒险的消除方法。

应用:采用译码器、数据选择器实现组合逻辑电路。

第四章触发器与波形变换、产生电路

一、学习目的与要求

通过本章学习掌握各类触发器的基本工作原理、电路结构和功能的表示方法。了解波形变换、产生电路常用电路的组成与工作原理。

二、考核知识点与考核目标

(一)基本RS触发器(次重点)

识记:二类基本RS触发器(由与非门和或非门构成)的电路结构与逻辑符号。

理解:二类基本RS触发器的工作原理。

应用:应用真值表、特性方程、状态转换图和时序表示基本RS触发器的逻辑功能。

(二)钟控触发器(重点)

识记:钟控RS触发器、钟控D触发器、钟控JK触发器、钟控T触发器和T’触发器的电路与逻辑符号。

理解:各类钟控触发器的工作原理

应用:各类钟控触发器逻辑功能的表示方法

(三)集成触发器(次重点)

理解:主从结构JK触发器与CMDS主从D触发器,边沿JK触发器和D触发器的工作原理。(四)触发器之间的转换(一般)

理解:用JK触发器和D触发器实现其它类型触发器的转换的方法。

(五)施密特触发器(一般)

理解:施密特触发器的组成方式、工作原理及基本应用。

(六)单稳态触发器(一般)

理解:单稳态触发器的组成方式、工作原理及基本应用。

(七)多谐振荡器(一般)

理解:各类多谐振荡器的组成与工作原理。

(八)555集成定时器(一般)

理解:555集成定时器的工作原理及其基本应用。

第五章时序逻辑电路

一、学习目的与要求

通过本章学习掌握时序逻辑电路和的分析方法和步骤,并能应用于锁存器、寄存器、移位寄存器、计数器以及应用电路的分析;了解时序逻辑电路的设计方法和步骤,并能应用于各类计数器,码检测电路、码制转换电路的设计。

二、考核知识点与考核目标

(一)时序逻辑电路的分析方法(一般)

理解:各类锁存器、寄存器与移位寄存器的工作原理与分析方法。

应用:同步计数器、异步计数器、移存型计数器及其应用电路的工作原理与分析方法。(二)时序逻辑电路的设计方法(一般)

理解:通过具体实例(如各类计数器、码检测电路、码制转换电路等)说明时序逻辑电路的

设计方法与步骤。

(注:考虑到中、小规模集成电路的设计方法和相应的堆砌技术正在迅速的退出历史舞台、取而代之的CPLD和FPGA的应用,彻底改变了传统的数字系统的设计方法、设计过程和设计概念。并且在本课程将作为重点内容给以讲解,所以在本章对传统的时序逻辑电路的设计方法只作一般了解内容,不再作重点内容要求。)

第六章程序逻辑电路

一、学习目的与要求

通过本章学习了解程序逻辑电路的结构组成、特点和应用,掌握半导体存储器和工作原理和使用方法。

二、考核知识点与考核目标

(一)半导体存储器

识记:半导体存储器的结构与分类以及常用的典型芯片功能。

理解:静态随机存储器(SRAM)、动态随机存储器(DRAM)的组成与工作原理;只读存储器(ROM)的分类与各类的基本组成与工作原理。

应用:随机存储器(RAM)的扩展方法;只读存储器对数据、程序的存放;对组合逻辑电路的实现;对码制转换的实现。

(二)程序逻辑电路(一般)

理解:程序逻辑电路的组成、特点和应用。

第七章CPLD与FPGA

一、学习目的与要求

通过本章学习掌握各种类型的CPLD和FPGA的电路结构、工作原理和使用场合,了解它们的编程方法并能熟练应用。

二、考核知识点与考核目标

(一)CPLD和FPGA的工作原理与结构特点(重点)

识记:可编程逻辑的类别与CPLD和FPGA在可编程逻辑器件中的地位;常用的CPLD和FPGA型号与性能参数。

理解:CPLD和FPGA的结构组成与工作原理以及两者区别。

应用:根据实际设计的需要能够正确选择CPLD或FPGA的器件类型。

(二)CPLD和FPGA应用的设计(重点)

识记:根据CPLD和FPGA的一般设计流程,能够说明完成设计的输入、设计的处理、设计的检验和器件的下载编程

第八章CPLD和FPGA的硬件描述语言

一、学习目的与要求

通过本章学习了解目前国内流行的三种(AHDL、VHDL和Vertlog HDL)硬件描述语言的基本内容和特点,并掌握其中一种硬件描述语言及其在CPLD和FPGA设计中的应用。二、考核知识点与考核目标

在此以VHDL的硬件描述语言为例给以说明:

(一)VHDL设计的基本结构(一般)

识记:VHDL设计文件中的库、包集合、实体、结构体和配置的含义和作用。

(二)VHDL语言的客体,数据类型与运算操作符(次重点)

识记:VHDL语言中的客体类型、数据类型的表示方法,以及各类运算操作符的功能与操作

的数据类型。

(三)VHDL的基本描述语句(重点)

识记:顺序语句和并行语句包含的内容与应用范围。

理解:各种顺序语句和并行语句的描述语句格式及含义。

应用:能够应用VHDL的基本描述语句编写出数字电路与系统(组合逻辑电路与时序电路)的文本设计文件。

(四)VHDL设计工具软件(重点)

应用:能够在目前国内流行的四种EDA工具(①Altera公司的MAX+plusⅡ②xilinx Foundation VHDL③isp VHDL④Lattice isp EXPERT)上选一种对所设计出的数字电路与系统的VHDL设计文件进行编辑、编译、综合、仿真、适配、配置、下载和硬件调试等技术操作。

第三部分实验环节

实验一组合逻辑电路实验

一、目的与要求

熟悉SSI与MSI组合逻辑电路的功能与测量方法

二、实验内容

1、用与门、异或门搭接全加器,并测量其功能;

2、同4片1位全加器搭接成4位串行进位加法器,并测试其功能;

3、用译码器/数据选择器实现全加器,并测试其功能。

实验二触发器与计数器实验

一、目的与要求

熟悉各类触发器和实际功能、触发器间转换的实现,和同步计数器与异步计数器的功能测试。

二、实验内容

1、测试几种典型的触发器的实际功能特性;

2、完成JK触发器向D或T触发器的转换,并测试其功能特性;

3、完成D触发器向JK触发器、T触发器的转换,并测试其功能特性;

4、同步和异步计数器的功能测试。

实验三CPLD/FPGA实验一—组合逻辑设计

一、目的与要求

通过一个简单的3-8译码器的CPLD设计、掌握组合逻辑电路的CPLD/FPGA设计方法、了解在MAX+PLUSⅡ开发平台上的CPLD设计的全过程。

二、实验内容

1、熟悉MAX+PLUSⅡ开发环境,了解各界面,菜单的含义;

2、熟悉三种输入文件的输入方法;

3、完成3-8译码器文本设计文件(或图形文件)的输入、编译逻辑综合、仿真、测试、下载的全过程;

4、实验报告要求

论述实验步骤

写一些对于三种输入方法的心得体会。

实验四CPLD/FPGA实验二——扫描显示电路的驱动

一、目的与要求

在MAX+PLUSⅡ开发平台完成8位七段数码管显示模块和标准扫描驱动电路模块的功能设计,在仿真过程中感受“扫描”的过程,在实验系统中观察字符亮度和显示刷新的效果。

二、实验内容

1、有拨码开关产生8421BCD码、用CPLD/FPGA产生字形编码电路和扫描驱动电路,经编译输入文件,仿真正确后进行设计实现;

2、调节时钟频率,感受扫描的过程,并观察字符亮度和显示刷新的效果;

3、编一个简单的从O~F轮换显示十六进制的电路。

实验五CPLD/FPGA实验三——计数器和时序电路

一、目的与要求

熟悉在MAX+PLUSⅡ开发平台上采用D触发器、JK触发器的原图或原语的方式设计计数器,采用宏及其组合连接方式设计计数器。

二、实验内容

1、用D触发器设计异步四位二进制加法计数器;

2、用JK触发器设计异步二一十进制减法计数器;

3、用74LS161两个宏连接成八位二进制同步计数器;

4、用74LS390两个宏连接成八位二一十进制异步计数器

实验六CPLD/FPGA实验——数字钟综合设计

一、目的与要求

通过在数字钟CPLD/FPGA实现的设计、掌握CPLD/FPGA的层次化自顶向下的设计方法(Top——Down)、完成多位计数器相连、实现十进制、六十进制、二十四进制计数器的设计方法。

二、实验内容

在同—个CPLD/FPLD芯片上实现如下电路模块的设计

1、时钟计数:秒——60进制BCD码计数

分——60进制BCD码计数

时——24进制BCD码计数

同时整个计数器有清零、调分、调时功能。

2、有驱动8位七段共阴极的扫描数码管的片选驱动信号输出的七段字行译码输出。

三、实践环节部分考核方式与环境要求

1、考核环境

上机考核需要在PC机上按装MAX+PLUSⅡ10.0以上版本的软件开发工具

2、考核方式

采用终结性考试

第四部分有关说明与实施要求

一、考核目标的能力层次表述

本大纲在考核目标中,按照“识记”、“理解”、“应用”三个能力层次规定其应达到的能力层次要求,各能力层次为递进等级关系,后者必须建立在前者的基础上,其含义是:

识记:能知道有关的名词、概念、知识的含义、并能正确认识和表述,是低层次的要求。理解:在识记的基础上,能全面把握基本概念、基本原理、基本方法、能掌握有关的概念、原理、方法的区别与联系是较高层次的要求。

应用:在理解的基本上,能运用基本概念、基本原理、基本方法联系学过的多个知识点分析

和解决有关的理论问题和实际问题,是最高层次的要求。

二、教材

指定教材:

《数字电路与FPGA》刘常澍、赵雅兴编人民邮电出版社2004年8月

参考教材:

《FPGA原理、设计与应用》赵雅兴主编天津大学出版社2002年3月第3次印刷

三、自学方法指导

1、在开始阅读指定教材某一章之前,先翻阅大纲中有关这一章的考核知识点及对知识点的能力层次要求和考核目标。以便在阅读教材时做到心中有数,有的放矢。

2、在阅读教材时,要逐段细读,逐句推敲,集中精力,吃透每一个知识点,对基本概念必须深刻理解,对于基本理论必须彻底弄清,对基本方法必须牢固掌握。

3、在自学过程中,既要思考问题,也要做好阅读笔记,把教材中的基本概念、原理、方法加以整理。这可从中加深对问题的认识,理解和记忆,以利于突出重点,并涵盖整个内容,可以不断提高自学能力。

4、完成书后作业的适当的辅导练习是理解、消化和巩固所学知识、培养分析问题、解决问题及提高能力的重要环节。在做练习之前,应认真阅读教材,按考核目标所要求的不同层次、掌握教材内容,在练习过程中对所学知识进行合理的回顾与发挥,注重理论联系实际和具体问题具体分析,解题应注意培养逻辑性,针对问题围绕相关知识点进行层次(步骤)分明的论述或推导,明确各层次(步骤)间的逻辑关系。

四、对社会助学的要求

1、应熟知考试大纲对课程提出的总要求和各章的知识点。

2、应掌握各知识点要求达到有能力层次,并深刻理解对各知识点的考核目标。

3、辅导时,应以考试大纲为依据,指定的教材和参考教材为基础,以免与大纲脱节。

4、辅导时,应对学习方法进行指导,提倡“认真阅读教材、刻苦钻研教材、主动争取帮助、依靠自己学通”的方法。

5、辅导时注意突出重点,对考生提出的问题,不有问即答,而采用积极启发引导方式搞清问题。

6、注意对应考者能力的培养,特别是自学能力的培养,引导考生逐步学会独立学习,在自学过程中善于提出问题,分析问题做出判断,解决问题。

7、要使学生了解试题的难易与能力层次高低两者不完全是一回事,在各个能力层次中会存在着不同难度的试题。

8、助学学时:本课程共6学分、理论课5学分、实验课1学分,建议助学总课时108学时,课时分配如下:

章次内容学时

第一章数字逻辑基础8

第二章门电路8

第三章组合逻辑电路10

第四章触发器与波形变换、产生电路10

第五章时序逻辑电路10

第六章程序逻辑电路8

第七章可编程逻辑器件中CPLD与FPGA 8

第八章CPLD与FPGA的硬件描述语言28

笔试课时总计90

实验一组合逻辑电路实验 3

实验二触发器与计数器实验 3

实验三CPLD与FPGA实验I——组合逻辑设计 3

实验四CPLD与FPGA实验II——扫描显示电路的驱动 3

实验五CPLD与FPGA实验III——计数器和时序电路 3

实验六CPLD与FPGA实验Ⅳ——数字钟综合设计 3

实践课时总计18

五、关于命题考试的若干规定

1、本大纲各章所提到的内容和考核目标都是考试内容,试题覆盖到章,适当突出重点;

2、试卷中对不同能力层次的试题比例大致是:“识记”为20%,“理解”为35%,“应用”为45%;

3、试题难易程度应合理:易、较易、较难、难的比例为2:3:3:2;

4、每份试卷中各类考核点所占比例约为:重点占64%,次重点占25%,一般占10%;

5、试题类型一般分为:填空、选择、分析和设计等类型;

6、考试采用闭卷笔试、考试时间150分钟,采用百分制评分,60分合格。

六、题型示例

(一)填空

1、.

2、一个硬件描述语言(例如VHDL)描述的最简单的数字电路合法文本设计文件包含有.

3、逻辑函数化简结果为.

(二)选择

1、在(a)TTL、(b)CMOS、(c)ECL这几种类型的集成电路产品中选择抗干扰能力最强的产品是.

2、在VHDL数据对象(或者称为客件)(a)变量、(b)信号、(c)常量中选择仅能用于进程、函数和过程的是.

(三)分析题

1、分析图一电路的逻辑功能、写出、的函数表达式,列出真值表,并指出该电路完成什么功能。

图一

2、已知某数字电路的硬件描述语言(例如VHDL)描述的文本设计文件如下,请分析后画出该数字电路的逻辑电路图。

LIBRARY IEEE;

VSE IEEE. STD_LOGIC_1164.ALL;

ENTITY h_adder is

PORT (a,b:IN STD_LOGIC;

So,Co:OUT STD_LOGIC);

END h_adder;

ARCHITECTURE Example 2 OF h_adder

BEGIN

So <= a XOR b;

Co <= a AND b;

END ARCHITECURE example 2;

(四)设计题

用硬件描述语言设计4位同步二进制加法计数器,输入为时钟CLK和异步清除端CLR,进位输出端为C。

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

FPGACPLD数字电路设计经验

FPGA/CPLD数字电路设计经验分享 摘要:在数字电路的设计中,时序设计是一个系统性能的主要标志,在高层次设计方法中,对时序控制的抽象度也相应提高,因此在设计中较难把握,但在理解RTL电路时序模型的基础上,采用合理的设计方法在设计复杂数字系统是行之有效的,通过许多设计实例证明采用这种方式可以使电路的后仿真通过率大大提高,并且系统的工作频率可以达到一个较高水平。 关键词:FPGA数字电路时序时延路径建立时间保持时间 1 数字电路设计中的几个基本概念: 1.1 建立时间和保持时间: 建立时间(setup time)是指在触发器的时钟信号上升沿到来以前,数据稳定不变的时间,如果建立时间不够,数据将不能在这个时钟上升沿被打入触发器;保持时间(hold time)是指在触发器的时钟信号上升沿到来以后,数据稳定不变的时间,如果保持时间不够,数据同样不能被打入触发器。数据稳定传输必须满足建立和保持时间的要求,当然在一些情况下,建立时间和保持时间的值可以为零。PLD/FPGA开发软件可以自动计算两个相关输入的建立和保持时间 注:在考虑建立保持时间时,应该考虑时钟树向后偏斜的情况,在考虑建立时间时应该考虑时钟树向前偏斜的情况。在进行后仿真时,最大延迟用来检查建立时间,最小延时用来检查保持时间。 建立时间的约束和时钟周期有关,当系统在高频时钟下无法工作时,降低时钟频率就可以使系统完成工作。保持时间是一个和时钟周期无关的参数,如果设计不合理,使得布局布线工具无法布出高质量的时钟树,那么无论如何调整时钟频率也无法达到要求,只有对所设计系统作较大改动才有可能正常工作,导致设计效率大大降低。因此合理的设计系统的时序是提高设计质量的关键。在可编程器件中,时钟树的偏斜几乎可以不考虑,因此保持时间通常都是满足的。

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

基于FPGA的数字时钟的设计1

基于FPGA的数字时钟的设计课题: 基于FPGA的数字时钟的设计 学院: 电气信息工程学院 专业: 测量控制与仪器 班级 : 08测控(2)班 姓名 : 潘志东 学号 : 08314239 合作者姓名: 颜志林 2010 年12 月12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉与掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题与故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计与组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用与掌握,使学生在实验原理的指导下,初步具备基本电路的分析与设计能力,并掌握其应用方法;自行拟定实验步骤,检查与排除故障、分析与处理实验结果及撰写实验报告的能力。综合实验的设计目的就是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟就是一种计时装置,它具有时、分、秒计时功能与显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固与掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识与了解。

1、课题要求 1、1课程设计的性质与任务 本课程就是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析与解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力与严谨的工作作风。 1、2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别就是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别就是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真与测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1、3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时, 鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的就是在七点时进行闹钟功能,鸣叫 过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

FPGA的数字电路设计综述

封面

作者:PanHongliang 仅供个人学习 1 数字电子基础4 1.1 导读4 1.2 数字电路概述4 1.2.1 数字信号与数字电路4 1.2逻辑函数及其表示方法5 1.2.1逻辑代数5

1.2.2逻辑函数的表示方法及相互转换5 1.3逻辑函数的公式化简法6 1.3.1逻辑函数的不同表达方式6 1.3.2逻辑函数的公式化简法6 1.4逻辑函数的卡诺图化简法7 1.4.1逻辑函数的最小项及其表达式7 1.4.2逻辑函数的卡诺图表示法7 1.4.3用卡诺图化简逻辑函数8 2逻辑门电路8 2.1 导读8 2.1逻辑门电路9 2.1.1三种基本门电路9 2.1.2 DTL与非门10 2.2 TTL逻辑门电路10 2.2.1 TTL与非门的电路结构10 2.2.2 TTL与非门的工作原理10 2.3 其他类型的TTL门电路11 2.3.1集电极开路与非门(OC门)11 2.3.2三态门(TSL门)11 2.4多余输入端的处理12 3组合逻辑13 3.1 导读13 3.2组合逻辑电路基础13 3.2.1组合逻辑电路的基本概念13 3.2.2组合逻辑电路的分析方法14 3.2.3组合逻辑电路的设计方法14 3.3常用组合逻辑建模14 3.3.1编码器14 3.3.2 译码器和数据分配器16 3.3.3数据选择器18 3.3.4数值比较器19 3.3.5加法器(减法器)20 3.3.6乘法器22 3.3.7除法器24 4触发器24 4.1导读24 4.2触发器的电路结构及工作原理24 4.2.1基本RS触发器(异步)24 4.2.2同步RS触发器25 4.2.3主从触发器和边沿触发器26 4.3触发器的功能分类及相互转换27 4.3.1触发器的功能分类27 4.3.2不同类型时钟触发器的相互转换28 5时序逻辑电路29

数字电路教案-课题二 寄存器(2课时)

理论课授课教案

教学过程和内容 时间分配 与教法1.由D触发器构成的数码寄存器 (1)电路组成 图5-1 单拍工作方式的数码寄存器 CP:接收脉冲(控制信号输入端) (2)工作原理 当CP↑时,触发器更新状态,Q3Q2Q1Q0=D3D2D1D0,即接收输入 数码并保存。 单拍工作方式:不需清除原有数据,只要CP↑一到达,新的数据就会存 入。 常用4D型触发器74LS175、6D型触发器74LS174、8D型触发器74LS374 或MSI器件等实现。 2.由D型锁存器构成的数码寄存器 (1)锁存器的工作原理 送数脉冲CP为锁存控制信号输入端,即使能信号(电平信号)。 ②当CP=1时,D数据输入不影响电路的状态,电路锁定原来的数据。 即当使能信号结束后(锁存),数据被锁住,输出状态保持不变。 二、移位寄存器 移位寄存器除了具有存储数码的功能外,还具有移位功能。 移位功能:寄存器中所存数据,可以在移位脉冲作用下逐位左移或右移。 在数字电路系统中,由于运算(如二进制的乘除法)的需要,常常要求 实现移位功能。

1.单向移位寄存器:是指仅具有左移功能或右移功能的移位寄存器。 教学过程和内容 时间分配 与教法1)右移位寄存器 ①电路组成 图5-4 4位右移位寄存器 串行输入 同步时序逻辑电路 ②工作过程(仿真运行图5-4电路。) 将数码1101右移串行输入给寄存器(串行输入是指逐位依次输入)。 在接收数码前,从输入端输入一个负脉冲把各触发器置为0状态(称为清零)。 ④时序图 CP顺序输入D SR输出 0 1 0 0 0 0 1 1 1 0 0 0 2 0 1 1 0 0 3 1 0 1 1 0 4 0 1 0 1 1 5 0 0 1 0 1 6 0 0 0 1 0 7 0 0 0 0 1 8 0 0 0 0 0

基于FPGA的数字时钟的设计1

基于FPGA 的数字时钟的设计 课 题: 基于FPGA 的数字时钟的设计 学 院: 电气信息工程学院 专 业 : 测量控制与仪器 班 级 : 08测控(2)班 姓 名 : 潘 志 东 学 号 : 08314239 合作者姓名: 颜志林 2010 年 12 月 12 日

综述 近年来随着数字技术的迅速发展,各种中、大规模集成电路在数字系统、控制系统、信号处理等方面都得到了广泛的应用。这就迫切要求理工科大学生熟悉和掌握常用中、大规模集成电路功能及其在实际中的应用方法,除通过实验教学培养数字电路的基本实验方法、分析问题和故障检查方法以及双踪示波器等常用仪器使用方法等基本电路的基本实验技能外,还必须培养大学生工程设计和组织实验能力。 本次课程设计的目的在于培养学生对基本电路的应用和掌握,使学生在实验原理的指导下,初步具备基本电路的分析和设计能力,并掌握其应用方法;自行拟定实验步骤,检查和排除故障、分析和处理实验结果及撰写实验报告的能力。综合实验的设计目的是培养学生初步掌握小型数字系统的设计能力,包括选择设计方案,进行电路设计、安装、调试等环节,运用所学知识进行工程设计、提高实验技能的实践。数字电子钟是一种计时装置,它具有时、分、秒计时功能和显示时间功能;具有整点报时功能。 本次设计我查阅了大量的文献资料,学到了很多关于数字电路方面的知识,并且更加巩固和掌握了课堂上所学的课本知识,使自己对数字电子技术有了更进一步的认识和了解。

1、课题要求 1.1课程设计的性质与任务 本课程是电子与信息类专业的专业的专业基础必修课——“数字电路”的配套实验课程。目的在于培养学生的理论联系实际,分析和解决问题的能力。通过本课程设计,使学生在理论设计、计算机仿真、指标调测、故障排除等方面得到进一步的训练,加强学生的实践能力。学生通过设计、仿真、调试、撰写设计报告等过程,培养学生的动手能力和严谨的工作作风。 1.2课程设计的基本技术要求 1)根据课题要求,复习巩固数字电路有关专业基础知识; 2)掌握数字电路的设计方法,特别是熟悉模块化的设计思想; 3) 掌握QUARTUS-2软件的使用方法; 4) 熟练掌握EDA工具的使用,特别是原理图输入,波形仿真,能对仿真波形进行分析; 5) 具备EDA技术基础,能够熟练使用VHDL语言进行编程,掌握层次化设计方法; 6) 掌握多功能数字钟的工作原理,学会不同进制计数器及时钟控制电路的设计方法; 7) 能根据设计要求对设计电路进行仿真和测试; 8) 掌握将所设计软件下载到FPGA芯片的下载步骤等等。 9) 将硬件与软件连接起来,调试电路的功能。 1.3课程设计的功能要求 基本功能:能进行正常的时、分、秒计时功能,分别由6个数码管显示24小时,60分钟,60秒钟的计数器显示。 附加功能:1)能利用硬件部分按键实现“校时”“校分”“清零”功能; 2)能利用蜂鸣器做整点报时:当计时到达59’59’’时开始报时,鸣叫时间1秒钟; 3)定时闹铃:本设计中设置的是在七点时进行闹钟功能,鸣叫过程中,能够进行中断闹铃工作。 本人工作:负责软件的编程与波形的仿真分析。 2、方案设计与分析

《数字电子技术基础》_阎石编著_数字电路教案

数字电路教案 本课程理论课学时数为70,实验24学时。各章学时分配见下表:

第一章逻辑代数基础 【本周学时分配】 本周5学时。周二1~2节,周四3~5节。 【教学目的与基本要求】 1、掌握二进制数、二—十进制数(主要是8421 BCD码) 2、熟练掌握逻辑代数的若干基本公式和常用公式。 3、熟练掌握逻辑函数的几种表达形式。 【教学重点与教学难点】 本周教学重点: 1、绪论:重点讲述数字电路的基本特点、应用状况和课程主要内容。 2、逻辑代数的基本运算:重点讲述各种运算的运算规则、符号和表达式。 3、逻辑代数的基本公式和常用公式:重点讲述逻辑代数的基本公式与普通代数公式的区别,常用公式的应用背景。 4、逻辑函数的表示方法:重点讲述各种表示方法的特点和相互转换方法。 本周教学难点: 反演定理和对偶定理:注意两者之间的区别、应用背景和变换时应注意的问题。【教学内容与时间安排】 一、绪论(约0.5学时) 1、电子电路的分类。 2、数字电路的基本特点。 3、数字电路的基本应用。 4、本课程的主要内容; 5、本课程的学习方法和对学生的基本要求。 二、数制与码制(约1.5学时)(若前置课程已学,可作简单复习0.5学时) 1、几种不同进制(二、八、十、十六进制)。 2、几种不同进制相互转换。 3、码制(BCD码)。 三、逻辑代数 1、基本逻辑运算和复合逻辑运算:与、或、非运算是逻辑代数的基本运算;还可以形成其他复合运算,常用的是与非、或非、与或非、异或、同或运算。(约0.5学时) 2、常用公式(18个)(约0.5学时) 3、基本定理(代入定理、反演定理、对偶定理)(约0.5学时) 4、逻辑函数的概念及表示方法(约0.5学时) 5、逻辑函数各种表示方法间的转换:常用的转换包括:函数式←→真值表;函数式←→逻辑图(约1学时)

数字电路教案

皖西学院教案 学年第学期 课程名称数字电子技术 授课专业班级电气 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明

单元教案

分教案

从集成度不同 数字集成电路可分为小规模、中规模、大规模、超大规模和甚大规模五类。 、数字集成电路的特点 )电路简单,便于大规模集成,批量生产 )可靠性、稳定性和精度高,抗干扰能力强 )体积小,通用性好,成本低. )具可编程性,可实现硬件设计软件化 )高速度低功耗 )加密性好 、数字电路的分析、设计与测试 ()数字电路的分析方法 数字电路的分析:根据电路确定电路输出与输入之间的逻辑关系。 分析工具:逻辑代数。 电路逻辑功能主要用真值表、功能表、逻辑表达式和波形图。 () 数字电路的设计方法 数字电路的设计:从给定的逻辑功能要求出发,选择适当的逻辑器件,设计出符合要求的逻辑电路。 设计方式:分为传统的设计方式和基于软件的设计方式。 模拟信号与数字信号 . 模拟信号 时间和数值均连续变化的电信号,如 正弦波、三角波等 、数字信号 在时间上和数值上均是离散、幅值只有和两种状态的信号。 数字电路和模拟电路:工作信号,研究的对象不同,分析、设计方法以及所用的数学工具也相应不同

教学内容纲要备注、模拟信号的数字表示 由于数字信号便于存储、分析和传输,通常都将模拟信号转换为数字信 号. →模数转换。 数字信号的描述方法 、二值数字逻辑和逻辑电平 二值数字逻辑:、数码表示数量时称二进制数,表示事物状态时称二值逻 辑。 表示方式:、在电路中用低、高电平表示、两种逻辑状态 、数字波形 数字波形是信号逻辑电平对时间的图形表示。 比特率每秒钟转输数据的位数 ()数字波形的两种类型:归零型和非归零型 ()周期性和非周期性 ()实际脉冲波形及主要参数 ()时序图表明各个数字信号时序关系的多重波形图。 课后作业

verilog数字钟设计FPGA

一、课程设计目标 1. 熟悉并掌握verilog 硬件描述语言 ;

是 总模块: module clock(clk,reset,MODE,Alarm_ctr,BT2,H12_24,DSH,DSL,DMH,DML,DHH,DHL,dian,bao _signal,nao_signal); input clk;//50MHz input reset,MODE,Alarm_ctr,BT2,H12_24;//复位键,模式选择按钮,闹钟开关档,调节按钮,12—24小时切换档 output [7:0]DMH,DML,DHH,DHL; //4个数码管显示输入信号 output dian,bao_signal,nao_signal; //时分间隔点,报时信号,闹钟信号 output [3:0]DSH,DSL; //秒钟输出信号 wire [3:0] SH,SL,MH,ML,HH,HL; wire [3:0] LED_mode; wire [3:0] HH12,HL12,HH24,HL24,MH24,ML24,SH24,SL24;

wire [3:0] set_HH,set_HL,set_MH,set_ML; wire _1HZ,_10ms,_250ms,_500ms; wire Keydone1; wire Keydone2; wire co1,co11,co111,co2,co22,co222,set_co2; wire [3:0]mode_flag; assign dian=1'b0; devide_f u1(_1HZ,_10ms,_250ms,_500ms,reset,clk); //分频,得到4种不同频率的时钟信号 key_press u2(_10ms,MODE,Keydone1); //模式档按钮去抖动 key_press u20(_10ms,BT2,Keydone2); //调节按钮去除抖动 mode u3(Keydone1,mode_flag); //通过模式按钮产生不同模式second u4(_1HZ,reset,mode_flag,Keydone2,SH24,SL24,co1); //秒计时 minute u5(co11,reset,MH24,ML24,co2); //分计时 hour u6(co22,reset,HH24,HL24); //小时计时 SEG7_LUT u7(DML,ML); //4个数码管显示

《数字电子技术课程设计》教学大纲

教学大纲 课程名称数字电子技术课程设计课程负责人 开课系部机电工程系 教研室电气自动化 二0一四年四月一日

《数字电子技术课程设计》教学大纲 一、课程基本信息 课程编号: 课程名称:数字电子技术课程设计 英文名称:A Course Design on Digital Electronic Technology 适用专业:电气工程及其自动化类专业 先修课程:电路原理、模拟电子技术、数字电子技术 课程性质:专业基础课 设计周数:1周 学分:1分 二、课程设计的性质、目的和任务 数字电子技术课程设计是电路分析、模拟电子技术、数字电子技术等课程之后的一门理论与实践相结合的综合设计性课程,目的在于提高和增强学生对电子技术知识的综合分析与应用能力。这对于提高学生的电子工程素质和科学实验能力非常重要,是电子技术人才培养成长的必由之路。数字电子技术课程设计应达到以下目的: (1)加深对所学理论知识的理解,并能将其熟练应用,做到理论与实际相结合; (2)学会查寻资料、方案比较,以及设计计算及制作调试等环节,进一步提高分析解决实际问题的能力; (3)要求学生根据技术指标进行理论设计,并制作调试完成,培养学生分析问题、解决问题的实践能力。 对本次课程设计,原则上指导老师只给出大致的设计要求,在设计思路上不框定和约束同学们的思维,所以同学们可以发挥自己的创造性,并力求设计方案凝练可行、思路独特、效果良好。 三、课程设计的内容 以《电路分析》、《模拟电子技术》和《数字电子技术》等课程中所涉及到的电阻、电容、电感元件、无源滤波电路、变压器、二极管、三极管、场效应管及

基本放大电路、功率放大电路、集成运算放大电路、信号发生器、直流电源、门电路及触发器、小规模集成电路SSI、中规模集成电路MSI为基础,两人一组分工协作、独立设计具有可靠性高及功能明确的实际应用价值的电子电路,最后编写课程设计总结报告。设计内容可参考设计题目,也可根据自身情况自己拟定。 参考题目如下: 1.数字电子钟逻辑电路设计:设计一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间;(如准点报时、定时闹钟等)2.智力竞赛抢答器逻辑电路设计:设计一个可供四组参赛的数字式竞赛抢答器,每组设置一个抢答按钮,要求具有第一抢答信号的鉴别和锁存功能,具有计分及计时功能,设置犯规报警电路。(电路具有鉴别和锁存功能,用数码管显示第一抢答组别且该组别对应指示灯亮,电路的自锁功能,使其余抢答开关不起作用;有主持人开关、有复位功能;增加部分扩展功能(如抢答计时及加分、减分电路等) 3.交通信号灯控制器逻辑电路设计:满足绿灯30秒,黄灯5秒,红灯35秒的时序。采用两位数码显示器显示南北方向时间。 4.汽车尾灯控制电路设计:转向侧的3灯应按全灭、1灯亮、2灯亮、3灯亮得顺序动作,周期性明亮与暗,一周约需一秒;当紧急闪烁起作用时,六个尾灯大约以1Hz的频率一致地闪烁着亮与暗;制动时,若转弯开关未合上(或错误地将两个开关均合上的情况)所有六个尾灯均连续燃亮。 5.数字温度计逻辑电路设计:设计一个可以测量温度范围0-800C的数字式温度计,精度± 10C。 6.多路防盗报警电路设计:采用多路输入、同一报警输出方式实现,输入端带延时触发功能,具有显示报警地点功能。 7.电梯控制电路设计:设计一个简易4层电梯控制电路,能记忆电梯内、外的所有请求信号,并按照电梯运行规则按顺序响应,每个信号保留至执行后消失。 8.倒计时计时器的设计:最长记时时间为999秒,有三位数码管显示记数状态。 9.洗衣机控制电路设计: 设计一个洗衣机控制器,具有如下功能:

数字电子技术基础. 第四版. 课后习题答案详解

Y 1 1 Y 第一章 1.1 二进制到十六进制、十进制 (1)(10010111)2=(97)16=(151)10 (3)(0.01011111)2=(0.5F)16=(0.37109375)10 1.2 十进制到二进制、十六进制 (1)(17)10=(10001)2=(11)16 (3) (0.39)10 = (0.0110 0011 1101 0111 0000 1010) 2 = (0.63D70A)16 1.8 用公式化简逻辑函数 (1)Y=A+B (2)Y = ABC + A + B + C 解: = BC + A + B + C = C + A + B + C =(A +A =) (5)Y=0 (2)(1101101)2=(6D)16=(109)10 (4)(11.001)2=(3.2)16=(3.125)10 (2)(127)10=(1111111)2=(7F)16 (4) (25.7)10 = (11001.1011 0011) 2 = (19.B 3)16 (3)Y=1 (4)Y = AB CD + ABD + AC D 解:Y = AD (B C + B + C ) = AD (B + C + C ) = AD (7)Y=A+CD (6)Y = AC (C D + A B ) + BC (B + AD + CE ) 解:Y = BC ( B ⊕ AD + CE ) = BC ( B + AD ) ⊕ CE = ABCD (C + E ) = ABCDE (8)Y = A + ( B + )( A + B + C )( A + B + C ) 解:Y = A + ( B ⊕ C )( A + B + C )( A + B + C ) = A + ( AB C + B C )( A + B + C ) = A + B C ( A + B + C ) = A + AB C + B C = A + B C (9)Y = BC + A D + AD (10)Y = AC + AD + AEF + BDE + BDE 1.9 (a) Y = ABC + BC (b) Y = ABC + ABC (c) Y 1 = AB + AC D ,Y 2 = AB + AC D + ACD + ACD (d) Y 1 = AB + AC + BC , Y 2 = ABC + ABC + ABC + ABC 1.10 求下列函数的反函数并化简为最简与或式 (1)Y = AC + BC (3)Y = ( A + B )( A + C )AC + BC (2) Y = A + C + D 解: = ( A + B )( A + C )AC + BC = [( A + B )( A + C ) + AC ] ⊕ BC = ( AB + AC + BC + AC )( B + C ) = B + C (5)Y = AD + AC + BCD + C 解:Y = ( A + D )( A + C )(B + C + D )C = AC ( A + D )(B + C + D ) = ACD (B + C + D ) = ABCD (4)Y = A + B + C (6)Y = 0 1.11 将函数化简为最小项之和的形式 (1)Y = A BC + AC + B C 解:Y = A BC + AC + B C = A BC + A (B + B )C + ( A + A )B C = A BC + ABC + AB C + AB C + ABC = A BC + ABC + AB C + ABC (2)Y = ABC D + A BCD + ABCD + AB CD + AB CD + A BC D

数字电路综合设计报告

成都信息工程学院数字电路综合设计报告 课程名称:乐曲演奏电路综合设计系部:信息安全工程学院 专业班级:信对121 学生姓名:罗星 学号:2012123015 指导教师:邓娜曾祥萍龚一光

一. 设计要求 (3) 二. 系统概述及工作原理 (3) 2.1系统概述 (3) 2.2工作原理 (3) 2.2.1乐曲发声原理 (3) 2.2.2硬件电路发声原理 (4) 三. 设计的具体实现 (4) 3.1单元电路设计与分析 (5) 3.1.1十分频器 (5) 3.1.2数控分频器 (6) 3.1.3分频预置数器 (7) 3.1.4 lpm_connter的设置 (9) 3.2音乐演奏电路的总体工作原理,时钟和音乐节拍的控制关系 (11) 3.2.1总体工作原理 (11) 3.2.2时钟和音乐节拍的控制关系 (11) 3.3调试及运行 (11) 3.3.1运行结果 (11) 3.3.2扩展为其他音乐的方法 (11) 四.心得体会及建议 (12)

基于FPGA的音乐演奏电路设计 一.设计要求 1. 设计一个乐曲硬件演奏电路,通过数字逻辑电路控制蜂鸣器演奏指定的乐曲; 2. 使用数字电路实验板上的FPGA器件(EP1C3T144C8)作为硬件电路平台,使用板载的交流蜂鸣器作为发声元件; 3. 在QuartusII环境下,将各单元电路按各自对应关系相互连接,构成乐曲硬件演奏电路,进行编译及仿真; 4. 将设计下载到实验板上验证乐曲演奏的效果。 二.系统概述及工作原理 2.1系统概述 该系统主要由十分频器,数控分频器,分频预置数器,计数器等构成。 整体电路框图如图一: 图1 2.2工作原理 2.2.1乐曲发声原理 1.乐曲中的每一音符对应着一个特定的频率,要想FPGA发出不同音符的音调,

基于FPGA 的数字系统设计

《基于FPGA的数字系统设计》项目设计项目名称: 电子密码锁 姓名:蒋流洲 院系:应用技术学院 专业: 09电子信息工程(应电应本) 学号: 200915254137 指导教师:徐正坤 完成时间: 2011年6月 30日

目录 1 项目名称、内容与要求………………………………………… 1页1.1 设计内容……………………………………………………… 1页 1.2 具体要求……………………………………………………… 1页 2 系统整体架构(Architecture Description)…………………1页2.1 设计思路……………………………………………………… 1页2.2 系统原理(包含:框图等阐述)与设计说明等内容…………2页 2.3 创新点与原创性内容………………………………………… 3页 3 系统设计 (含HDL 或原理图输入设计)……………………… 3页注:此部分包含主要逻辑单元、模块、源代码等内容 3.1 HDL 代码……………………………………………………… 3页 3.2 系统整体电路图(或RTL 级电路图)……………………… 11页 4 系统仿真(Simulation Waveform)……………………………12页 5 FPGA 实现(FPGA Implementation)………………………… 12页注:此处应包含硬件验证、操作过程、结果等说明 6 总结(Closing)……………………………………………… 15页参考书目(Reference):………………………………………… 16页

1项目名称、内容与要求 1.1 设计内容 实验板上有10个按键k1~k10,其中k1~k10作为密码输入按键,k10作为复位按键,一便重新开始输入的密码。当然,这个电子密码锁与实际的电子密码锁的设计有一些不一样,也许实际的电子密码锁并不设置复位按键,而是当密码输入错误后,延长很长一段时间才接受新密码的输入。 假设电子密码锁的密码为四位数3216。利用实验板上的一个数码管显示输入密码次数,当输入密码正确时,在数码管上显示字符“H”;当输入密码不正确时,在数码管上显示字符“E”。 1.2 具体要求 1﹞.具有密码输入功能。 2﹞.设置复位按键,以便重新输入新的密码。 3﹞.在数码管上显示输入密码次数。 4﹞.拒绝接受超过规定次数的密码输入信号。 5﹞.当密码输入正确时,在数码管上显示字符“H”;当密码输入不正确时,在数码管上显示字符“E”; 2 系统整体架构 2.1 设计思路 电子密码锁在生活中十分常见,在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思

数字电路教案汇总

数字电路教案汇总

皖西学院教案2014 - 2015 学年第2学期 课程名称数字电子技术 授课专业班级电气1302-02 授课教师张斌 职称副教授 教学单位机电学院 教研室

学期授课计划说明 课程类别总学分 3.5 总学时56 本学期学时教学周次周学时学时分配 56 14 4 讲授实验上机考查其他56 教学目的要求 在元器件学习的基础上,掌握数字电路的基础和逻辑门电路的基础知识;重点掌握组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法;掌握D/A和A/D转换以及脉冲波形的产生和整形电路;了解半导体存储器的基本概念和基本知识。 教学重点难点重点掌握逻辑门电路的基础知识,组合逻辑电路和时序逻辑电路的分析和设计方法,尤其是中规模集成的分析和设计方法。此部分内容也是该门课程的教学难点。 选用教材 电子技术基础(数字部分)康华光等(第五版),北京:高等教育出版社

主要参考资料1.清华大学电子学教研组,阎石主编,数字电子技术基础,第四版,北京,高等教育出版社,1998。 2.李士雄,丁康源主编,数字集成电子技术教程,北京:高等教育出版社,1993。 3.曹汉房,陈耀奎编著,数字技术教程,北京:电子工业出版社,1995。4.扬晖,张风言编著,大规模可编程逻辑器件与数字系统设计,北京:北京航空航天大学出版社,1998。 备注 单元教案 知识单元 主题 数字逻辑基础学时 教学内容(摘要)1.1 数字电路与数字信号 1.2 数制 1.3 二进制数的算术运算 1.4 二进制代码 1.5 二值逻辑变量与基本逻辑运算1.6 逻辑函数及其表示方法

数字电子技术基础(整理笔记)

第一章数字逻辑基础 1.1 数字电路概述 1.1.1 数字电路与模拟电路 电子电路根据其处理的信号不同可以分为模拟电子电路和数字电子电路。 1.模拟信号和模拟电路 模拟信号:在时间上和数值上都是练习变化的信号。 模拟电路:处理模拟信号的电子电路。 2.数字信号和数字电路 数字信号:在时间上和数值上都是离散(变化不连续)的信号。 数字电路:处理数字信号的电子电路。 3.数字电路的特点 ①数字电路内部的晶体管(包括单、双极型)主要工作在饱和导通或截止状态;模拟电路内部的晶体管主要工作在放大状态。 ②数字电路的信号只有两种状态:高电平和低电平,分别对应于(或代表)二进制数中的1和0,表示信号的有或无,便于数据处理。 ③数字电路结构相对简单,功耗较低,便于集成。 ④数字电路抗干扰能力强。其原因是利用脉冲信号的有无传递1和0的数字信息,高低电平间容差较大,幅度较小的干扰不足以改变信号的有无状态。 ⑤数字电路不仅能完成数值运算,而且还能进行逻辑运算和比较判断,从而在计算机系统中得到广泛应用。 4.数字电路的分类 ①按电路的组成结构可分为分列元件电路和集成电路。 ②按数字电路集成度可分为小规模、中规模、大规模和超大规模集成电路。 ③按集成电路内部器件可分为双极型和单级型。 ④按电路的逻辑功能可分为组合逻辑和时序逻辑电路。 1.1.2脉冲波形参数 数字电路信号中,研究的对象是一些不连续的突变的电信号,作用时间很短,所以也称为脉冲信号。 脉冲信号波形形状很多,主要有方波、矩形波、三角波、锯齿波等。 ①脉冲幅度Um。脉冲电压变化的最大值,即脉冲波从波底至波顶之间的电压。 ②上升时间t r。脉冲波前沿从0.1Um上升到0.9Um所需的时间。 ③下降时间t f。脉冲波后沿从0.9Um下降到0.1Um所需的时间。 ④脉冲宽度t w。脉冲波从上升沿的0.5Um至下降沿0.5Um所需的时间。 ⑤脉冲周期T。在周期性脉冲信号中,任意两个相邻脉冲上升沿(或下降沿)之间的时间 间隔。 ⑥重复频率f(单位:Hz)。每秒脉冲信号出现的次数,即脉冲周期的倒数:f=1/T。 ⑦占空比q。脉冲宽度与脉冲周期的比值,q=t w/T。 1.2.1数制与编码

相关主题
文本预览
相关文档 最新文档