当前位置:文档之家› TCON液晶驱动原理

TCON液晶驱动原理

TCON液晶驱动原理
TCON液晶驱动原理

0 引言

本文主要阐述了TFT-LCD的显示原理、系统结构和时序控制器TCON的设计方案。

1 TFT-LCD的显示原理及系统结构

TCON的时序信号是基于TFT-LCD面板(Panel)的需要产生的,理解TCON的原理,首先应了解LCD 面板的显示原理。典型的TFT-LCD面板内部结构如图1所示。液晶具有透光可控性,改变施加在液晶两端的电压,液晶的透光率就会随之改变。

一个液晶单元实现一个采样点的显示,因此,如果根据二值图像的数据结构将液晶单元以矩阵方式排列成为液晶阵列(Crystal Array),即可实现一幅图像的显示。通常,液晶阵列的排列有Strip和Delta两种方式。不同排列方式决定了不同的RGB采样顺序,而一个像素由3个液晶单元构成(RGB)。因此,液晶阵列中液晶单元的个数决定了显示分辨率。TFT-LCD是在超扭曲型STN的基础上,通过TFT晶体管将显示像素和扫描电极分割开来形成的,其特点是克服了STN-LCD的交叉效应。

TFT-LCD系统由2部分组成:LCD控制模块TCON和LCD面板模块,如图2所示。LCD显示器中采用按行、列的有源矩阵驱动方式,行线都是接在像素NMOS管的栅极(gate),列线是接在NMOS管的源极(Source)。在LCD模块中,行线和列线是分开来驱动的,驱动行线的电路叫门驱动器(Gate Driver);驱动列线的电路叫源驱动器(Source Driver)。

源驱动器和门驱动器共同控制液晶单元的充放电过程。当扫描信号有效时,这一行上所有的TFT单元同时打开,RGB电压通过TFT给存储电容充电。因此RGB和Vcom的电压差就决定了液晶柱的电压。当扫描信号无效时,这行上的TFT单元断开,存储电容的电荷在一帧时间内可基本保持不变,从而实现占空比接近100%的静态显示效果。

TCON的主要功能是为TFT-LCD面板中的源驱动器和门驱动器提供必要的时序控制信号。根据面板(Panel)要求,TCON的最基本输出信号为:STV、OEV、CPV、STH、CPH、OEH、PLC等;此外,为了实现不同显示模式,还有L/R、U/D、MOD、Q2H等控制信号。

2 时序控制器TCON模块设计

时序控制器TCON模块包括:锁相环PLL、I2C接口、分频器DIV、行同步模块HSB、场同步模块VSB及控制信号输出模块CSB。TCON的基本结构如图3所示。其中,场同步模块VSB产生门驱动器需要的控制信号:行同步模块HSB产生源驱动器需要的控制信号;控制信号输出模块CSB产生扫描方向控制信号。本系统设计中引入了I2C接口,控制信号可通过内部寄存器来控制,通过改变软件即可实现显示模式的转变,从而大大减少了外部硬件电路的管脚数量。PLL可提供1 920、1 440、1 200、1 152分辨率对应的系统时钟,而960、480、400、320分辨率对应的时钟由DIV模块分频获得。

由于不同分辨率的LCD、不同工作模式所需要的输出控制信号有所不同,因此TCON工作时,首先要做出判断,选择正确的工作模式,以便于产生相应合适的控制信号。这些选择包括LCD分辨率选择、外部/锁相环电路PLL时钟模式选择、分离/复合工作模式选择、NTSC/PAL制式选择。

LCD分辨率选择:在实际的显示系统中,TFT-LCD有不同的尺寸与分辨率,一般中、小尺寸的TFT-LCD 的分辨率有480x234(2.5"、3.5")、960x234(3.6"、5"、*")、1 200x234(6.5")、1400x234(6.2"、7")、1 920x234(9")等。对于不同分辨率的LCD,所需要的某些控制信号会有不同。

外部/PLL时钟模式选择:工作时钟源的提供有两种方式,锁相环电路PLL模式和外部时钟模式。在PLL工作模式中,VCO电路产生振荡,通过锁相环电路调相后给TCON提供稳定的工作时钟;在外部工作模式中,工作时钟由外部提供。

分离/复合模式选择:输入同步信号可分为复合同步信号和分离同步信号,这两种同步信号的同步脉冲标志位不相同。

NTSC/PAL制式选择:NTSC和PAL制式的每帧行数和同步脉冲的标志位完全不同,因此在处理输入信号及产生控制信号以前应做出判断选择。高分辨率下的显示模式选择:高分辨率下有FULL、Center、Wide、Zoom1、Zoom2、Left、Right、Zoom3共8种不同的显示模式,不同显示模式下,其显示区域、输出控制性能和脉宽会有所不同。

2.1 门驱动器模块的控制信号

门驱动器通过OG(TFT_LCD选通信号)信号来选通TFT,从而控制存储电容的充放电。门驱动器的基本输入信号为CPV(门驱动转换时钟)、STV(门驱动开始脉冲)和OEV(门驱动输出使能)。此外,门驱动器如

果支持up to down和down to up扫描模式,还需要扫描方向控制信号U/D。门驱动器的时序如图4所示。

通过改变CPV、STV及OEV的时序即可实现对TFT的开关控制,从而控制图像的显示。Zoom1、Zoom2及Zoom3显示模式就是通过改变门驱动器的输入信号时序实现的。

2.2 源驱动器模块的控制信号

门驱动器通过TFT开关来决定是否对存储电容进行充电,而源驱动器则控制如何对存储电容进行充放电。源驱动器的基本控制信号包括:CPH(源驱动转换时钟)、STH(源驱动开始脉冲)及OEH(源驱动输出使能)等。如果源驱动器支持Left/Right扫描控制及Simultaneously/Sequential采样模式,它还有左右扫描控制信号(L/R)和采样模式控制信号(MOD)。源驱动器在STHL有效时开始扫描,当STHR有效时结束,其控制信号时序如图5所示。

源驱动器在一行时间中完成采样和显示两个动作,如图6所示。当第k行的TFT选通信号OG(K)有效时,SWa1和SWb2闭合:此时,第k行的视频信号对CH1充电,此行上所有像素点的视频信息就被保存在CH1中;同时,由于SWb2也闭合,CH2就通过Qk-1对第k-1行上的存储电容Cd进行充电,从而实现第k-1行图像的显示。

根据源驱动器的采样显示原理可知,改变CPH、OEH及STH的时序就可以实现对图像的显示控制。Center、Wide、Left和Right等显示模式是通过改变源驱动器控制信号的时序实现的。

2.3 Vcom模块的极性控制及显示模式

液晶柱的透光特律和电压(V)的方向无关,只和其大小有关。但是,如果长时间给液晶柱施加同向电压就会使它电解,从而失去其透光特性,这样容易造成液晶损坏。因此,为了延长液晶的寿命,需要不断改变施加在液晶上的电压V的方向。对于液晶屏来说,Vcom的转换方式有3种:帧翻转、行翻转、列翻转。帧翻转模式的频率低(50 Hz),功耗小,图像质量一般:行翻转模式的频率较低(15 kHz),功率小,图像质量较好:列翻转模式的频率高,功耗大,图像质量最好,适用于大尺寸屏。对于支持多种显示模式的小尺寸模拟TFTLCD面板,一般采用行翻转的形式,通过改变公共端的电压极性Vcom而达到翻转的目的。TCON会输出一个行翻转信号POL,用这个信号产生Vcom,通过调节Vcom的DC端,改变LCD的色彩,调节AC端,可以改变LCD的对比度。

ITU-601标准的视频信号有2种制式:PAL和NTSC;TCON的输出信号根据显示模式和制式确定。本设计支持FULI、Center、Wide、Zoom1、Zoom2、Left、Right、Zoom3共8种显示模式。以PAL制信号为例,PAL制彩色信号的数据结构是每场312.5行,有效图像占288行。以下是8种显示模式的具体设计。

FULL:水平方向全屏显示,无缩放,TCPH=3TOSC,垂直方向288行抽样为234行

Center:水平方向缩小为3/4居中显示,TCPH=4TOSC,垂直方向288行抽样为234行

Wide:水平方向全屏显示,放大缩小都有,TCPH=2TOSC、3TOSC、4TOSC,垂直方向288行抽样为234行

ZOOM1:水平方向全屏显示,无缩放,TCPH=3TOSC,垂直方向220行插值为234行

ZOOM2:水平方向全屏显示,无缩放,TCPH=3TOSC,垂直方向无缩放,234行显示

Left:水平方向缩小为3/4居左屏显示,TCPH=4TOSC,垂直方向288行抽样为234行

Right:水平方向缩小为3/4居右屏显示,TCPH=4TOSC,垂直方向288行抽样为234行

ZOOM3:水平方向全屏显示,无缩放,TCPH=3TOSC,垂直方向无缩放,234行显示

3 小结

TCON的输出信号主要由计数器控制产生,其时序取决于2个因素,即:图像信号的数据结构和显示模式。

针对不同LCD面板,TCON的时序有所不同,但显示原理基本一样。因此,TCON输出信号是否能支持不同分频率的LCD面板取决于PLL给出的系统时钟是否满足要求。该设计通用性较强,相对于只能驱动2~3种分辨率面板的TCON,支持16:9和4:3的TFT-LCD面板,也支持不同的显示模式,并支持目前市场上的大部分厂家的LCD面板,例如:AU、PVI、Samsung、LG、Sharp、Panasonic、Toshiba、Foxconn。时序控制器的设计已经过SMIC018工艺单独流片成功,并与VideoProcessor集成,已应用于便携式DVD、车载电视等领域。这也正证实了此方案的可行性。

TFT LCD液晶显示器的驱动原理

TFT LCD液晶显示器的驱动原理 我们针对feed through电压,以及二阶驱动的原理来做介绍.简单来说Feed through电压主要是由于面板上的寄生电容而产生的,而所谓三阶驱动的原理就是为了解决此一问题而发展出来的解决方式,不过我们这次只介绍二阶驱动,至于三阶驱动甚至是四阶驱动则留到下一次再介绍.在介绍feed through电压之前,我们先解释驱动系统中gate driver所送出波形的timing图. SVGA分辨率的二阶驱动波形 我们常见的1024*768分辨率的屏幕,就是我们通常称之为SVGA分辨率的屏幕.它的组成顾名思义就是以1024*768=786432个pixel来组成一个画面的数据.以液晶显示器来说,共需要1024*768*3个点(乘3是因为一个pixel需要蓝色,绿色,红色三个点来组成.)来显示一个画面.通常在面板的规划,把一个平面分成X-Y轴来说,在X轴上会有1024*3=3072列.这3072列就由8颗384输出channel的source driver 来负责推动.而在Y轴上,会有768行.这768行,就由3颗256输出channel的gate driver来负责驱动.图1就是SVGA分辨率的gate driver输出波形的timing图.图中gate 1 ~ 768分别代表着768个gate

driver的输出.以SVGA的分辨率,60Hz的画面更新频率来计算,一个frame的周期约为16.67 ms.对gate 1来说,它的启动时间周期一样为16.67ms.而在这16.67 ms之间,分别需要让gate 1 ~ 768共768条输出线,依序打开再关闭.所以分配到每条线打开的时间仅有16.67ms/768=21.7us而已.所以每一条gate d river打开的时间相对于整个frame是很短的,而在这短短的打开时间之内,source driver再将相对应的显示电极充电到所需的电压. 而所谓的二阶驱动就是指gate driver的输出电压仅有两种数值,一为打开电压,一为关闭电压.而对于common电压不变的驱动方式,不管何时何地,电压都是固定不动的.但是对于common电压变动的驱动方式,在每一个frame开始的第一条gate 1打开之前,就必须把电压改变一次.为什么要将这些输出电压的t iming介绍过一次呢?因为我们接下来要讨论的feed through电压,它的成因主要是因为面板上其它电压的变化,经由寄生电容或是储存电容,影响到显示电极电压的正确性.在LCD面板上主要的电压变化来源有3个,分别是gate driver电压变化,source driver电压变化,以及common电压变化.而这其中影响最大的就是gate driver电压变化(经由Cgd或是Cs),以及common电压变化(经由Clc或是Cs+Clc). Cs on common架构且common电压固定不动的feed through电压 我们刚才提到,造成有feed through电压的主因有两个.而在common电压固定不动的架构下,造成f eed through电压的主因就只有gate driver的电压变化了.在图2中,就是显示电极电压因为feed thro ugh电压影响,而造成电压变化的波形图.在图中,请注意到gate driver打开的时间,相对于每个frame 的时间比例是不正确的.在此我们是为了能仔细解释每个frame的动作,所以将gate driver打开的时间画的比较大.请记住,正确的gate driver打开时间是如同图1所示,需要在一个frame的时间内,依序将7

液晶驱动与显示技术

工程实践报告 摘要 我们通过资料的查阅,初步了解了液晶显示技术的历史和发展前景,同时对TN型液晶材料和12864型液晶做了更加深入的了解,并且做出了实物模型,通过这次工程实践对液晶材料有了更加直观的认识。 关键词:液晶显示技术,TN型液晶材料,12864液晶材料,实物模型 前言: 1888年奥地利植物学家发现了一种白浊有粘性的液体,后来,德国物理学家发现了这种白浊物质具有多种弯曲性质,认为这种物质是流动性结晶的一种,由此而取名为Liquid Crystal即液晶。液晶是白色混浊的粘性液体,显示棒状的分子形状。 一、液晶的特性 1、常见液晶相 向列相(Nematic)、胆甾相(Cholesteric)和近晶相(Smectic) (1)向列相液晶 它的分子成棒状,局部地区的分子趋向于沿同一方向排列。分子短程相互作用比较弱,其排列和运动比较自由,分子这种排列状态使其粘度小、流动性强。向列相液晶的主要特点是具有单轴晶体的光学性质,对外界作用非常敏感,是液晶显示器件的主要材料。 (2)胆甾相液晶 它的分子呈扁平层状排列,分子长轴平行层平面,层内各分子长轴互相平行(对应方向)相邻两层内的分子长轴方向有微小扭转角,各层分子指向矢沿着层的法线方向连续均匀旋转,使液晶整体结构形成螺旋结构,螺旋扭转360°的两个层面的距离叫做螺距,用L表示,通常L为100nm的数量级。这种特殊的螺旋状结构使得该种晶体具有明显的旋光性、圆偏振光二向色性以及选择性光散射等特殊光学性质。因此,常将胆甾相液晶作为控制液晶分子排列的添加剂或直接作为变色液晶膜。 (3)近晶相液晶 近晶相液晶分子也成棒状,分子排列成层,每层分子长轴方向是一致的,但分子长轴与层面都呈一定的角度。层的厚度约等于分子的长度,各层之间的距离可以变动。由 - 1 -

LED液晶显示器的驱动原理

LED液晶显示器的驱动原理 艾布纳科技有限公司 前两次跟大家介绍有关液晶显示器操作的基本原理, 那是针对液晶本身的特性,与TFT LCD 本身结构上的操作原理来做介绍. 这次我们针对TFT LCD 的整体系统面来做介绍, 也就是对其驱动原理来做介绍, 而其驱动原理仍然因为一些架构上差异的关系, 而有所不同. 首先我们来介绍由于Cs(storage capacitor)储存电容架构不同, 所形成不同驱动系统架构的原理. Cs(storage capacitor)储存电容的架构 一般最常见的储存电容架构有两种, 分别是Cs on gate与Cs on common这两种. 这两种顾名思义就可以知道, 它的主要差别就在于储存电容是利用gate走线或是common走线来完成的. 在上一篇文章中, 我曾提到, 储存电容主要是为了让充好电的电压,能保持到下一次更新画面的时候之用. 所以我们就必须像在CMOS的制程之 中, 利用不同层的走线, 来形成平行板电容. 而在TFT LCD的制程之中, 则是利用显示电极与gate走线或是common走线,所形成的平行板电容,来制作出储存电容Cs. For personal use only in study and research; not for commercial use

图1就是这两种储存电容架构, 从图中我们可以很明显的知道, Cs on gate由于不必像Cs on common一样, 需要增加一条额外的common走线, 所以它的开口率(Aperture ratio)会比较大. 而开口率的大小, 是影响面板的亮度与设计的重要因 素. 所以现今面板的设计大多使用Cs on gate的方式. 但是由于Cs on gate的方 式, 它的储存电容是由下一条的gate走线与显示电极之间形成的.(请见图2的Cs on gate与Cs on common的等效电路) 而gate走线, 顾名思义就是接到每一个TFT 的gate端的走线, 主要就是作为gate driver送出信号, 来打开TFT, 好让TFT对显 示电极作充放电的动作. 所以当下一条gate走线, 送出电压要打开下一个TFT时, 便会影响到储存电容上储存电压的大小. 不过由于下一条gate走线打开到关闭的时间很短,(以1024*768分辨率, 60Hz更新频率的面板来说. 一条gate走线打开的时间约为20us, 而显示画面更新的时间约为16ms, 所以相对而言, 影响有限.) 所以当下一条gate走线关闭, 回复到原先的电压, 则Cs储存电容的电压, 也会随之恢复到正常. 这也是为什么, 大多数的储存电容设计都是采用Cs on gate的方式的原因. For personal use only in study and research; not for commercial use

液晶显示器的工作原理

液晶显示器的工作原理 我们很早就知道物质有固态、液态、气态三种型态。液体分子质心的排列虽然不具有任何规律性,但是如果这些分子是长形的(或扁形的),它们的分子指向就可能有规律性。于是我们就可将液态又细分为许多型态。分子方向没有规律性的液体我们直接称为液体,而分子具有方向性的液体则称之为“液态晶体”,又简称“液晶”。液晶产品其实对我们来说并不陌生,我们常见到的手机、计算器都是属于液晶产品。液晶是在1888年,由奥地利植物学家Reinitzer发现的,是一种介于固体与液体之间,具有规则性分子排列的有机化合物。一般最常用的液晶型态为向列型液晶,分子形状为细长棒形,长宽约1nm~10nm,在不同电流电场作用下,液晶分子会做规则旋转90度排列,产生透光度的差别,如此在电源ON/OFF下产生明暗的区别,依此原理控制每个像素,便可构成所需图像。 1. 被动矩阵式LCD工作原理 TN-LCD、STN-LCD和DSTN-LCD之间的显示原理基本相同,不同之处是液晶分子的扭曲角度有些差别。下面以典型的TN-LCD为例,向大家介绍其结构及工作原理。 在厚度不到1厘米的TN-LCD液晶显示屏面板中,通常是由两片大玻璃基板,内夹着彩色滤光片、配向膜等制成的夹板? 外面再包裹着两片偏光板,它们可决定光通量的最大值与颜色的产生。彩色滤光片是由红、绿、蓝三种颜色构成的滤片,有规律地制作在一块大玻璃基

板上。每一个像素是由三种颜色的单元(或称为子像素)所组成。假如有一块面板的分辨率为1280×1024,则它实际拥有3840×1024个晶体管及子像素。每个子像素的左上角(灰色矩形)为不透光的薄膜晶体管,彩色滤光片能产生RGB三原色。每个夹层都包含电极和配向膜上形成的沟槽,上下夹层中填充了多层液晶分子(液晶空间不到5×10-6m)。在同一层内,液晶分子的位置虽不规则,但长轴取向都是平行于偏光板的。另一方面,在不同层之间,液晶分子的长轴沿偏光板平行平面连续扭转90度。其中,邻接偏光板的两层液晶分子长轴的取向,与所邻接的偏光板的偏振光方向一致。在接近上部夹层的液晶分子按照上部沟槽的方向来排列,而下部夹层的液晶分子按照下部沟槽的方向排列。最后再封装成一个液晶盒,并与驱动IC、控制IC 与印刷电路板相连接。 在正常情况下光线从上向下照射时,通常只有一个角度的光线能够穿透下来,通过上偏光板导入上部夹层的沟槽中,再通过液晶分子扭转排列的通路从下偏光板穿出,形成一个完整的光线穿透途径。而液晶显示器的夹层贴附了两块偏光板,这两块偏光板的排列和透光角度与上下夹层的沟槽排列相同。当液晶层施加某一电压时,由于受到外界电压的影响,液晶会改变它的初始状态,不再按照正常的方式排列,而变成竖立的状态。因此经过液晶的光会被第二层偏光板吸收而整个结构呈现不透光的状态,结果在显示屏上出现黑色。当液晶层不施任何电压时,液晶是在它的初始状态,会把入射光的方向扭转90度,因此让背光源的入射光能够通过整个结构,结果在显示屏上出现白

HT液晶显示驱动详细原理及程序

H T液晶显示驱动详细原 理及程序 The latest revision on November 22, 2020

Ht1621液晶显示详细驱动使用说明以及程序 1.概述 HT1621是128点内存映象和多功能的LCD驱动器,HT1621的软件配置特性使它适用于多种LCD应用场合,包括LCD模块和显示子系统。用于连接主控制器和HT1621的管脚只有4或5条,HT1621还有一个节电命令用于降低系统功耗。 在虎风所做的这个系统中ht1621用于驱动一个静态的LCD液晶显示器。液晶显示的方式分为静态显示和动态显示。静态与动态的区别在于静态显示是持续供电的,而动态显示是利用人的视觉停留效果,快速扫描数码管各个段,让人在视觉上感觉到数码管是同时显示的。 2.HT1621接线原理图 3.静态LCD结构图 4.几个曾经纠结的概念 Time base:时基,即时间基准,可以用来输出,作为外部时钟的时间基准。 占空比:将所有公共电极(COM)各施加一次扫描电压的时间叫一帧,单位时间内扫描多少帧的频率叫帧频,将扫描公共电极(COM)选通的时间与帧周期之比叫占空比。通常占空比等于公共电极数N的倒数,即1/N。这就是说假如你要驱动4个液晶,就需要4个COM,那么你的占空比就要设定为1/4。 偏压比:指的是液晶的偏压系数,可以看看专业技术文章,偏压目的是克服交叉效应,通过把半选择点与非选择点的电压平均,适度提高非选择点的电压来抵消半选择点上的一部分电压,使半选择点上的电压下降,从而提高显示对比度;最终行半选择点和非选

择点上的电压均为显示电压的1/a,1/a就称为偏压系数,也称为偏压。此方法称为1/a偏压的平均电压法,简称为1/a偏压法。 VLCD(LCD驱动电压): LCD的驱动电压为加在点亮部分的段电压与公共电压之差(峰-峰值)。 5.关于RAM地址映射的概念 为了这个问题困扰了很久,虎风太愚钝啦…… Ht1621有一个32*4的LCD驱动,映射到32*4的RAM地址。 上图中写命令101后面跟6位RAM地址,那么这个地址是如何确定的呢其实说白了也很简单,RAM地址就是SEG的序号。我们要点亮一段液晶管需要给他提供一个电平,而这个电平是由SEG管脚提供的,SEG管脚电平的输出又取决于对应RAM地址中的值。 驱动一个8段数码管的顺序是A,B,C,D,E,F,G,DP,我们认为前面LCD结构图中的数码管3为我们要显示的低位,那么连接原理图中A3的是SEG12,我们就说此时的RAM地址为0b001100,连接B3的是SEG11,对应的RAM地址为0b001011,依次类推,第一个数码管的所有地址为: 0b001100,0b001011,0b001010,0b001001,0b001000,0b001101,0b001110,0b000111//DP2; 其余地址类似,在此不再解释。 6.程序 Unsigned char LCD_ADD[]={0b00001100,0b00110100,0b00010100,0b00100100,0b00000100,0b00101100,0

液晶显示屏背光驱动集成电路工作原理

对“剖析液晶屏逻辑板TFT偏压电路”一文的一点看法(此文为技术探讨) 在国内某知名刊物2010年12月份期刊看到一篇关于介绍液晶屏逻辑板TFT偏压电路的文章,文章的标题是:“剖析液晶屏逻辑板TFT偏压电路”这是一篇选题极好的文章、目前液晶电视出现的极大部分屏幕故障例如:图像花屏、彩色失真、灰度失真、对比度不良、亮度暗淡、图像灰暗等等故障都与此电路有关,维修人员在维修此类故障时往往的面对液晶屏图像束手无策,而介绍此电路、无疑对类似故障的分析提供了极大的帮助,目前在一般的期刊书籍介绍分析此电路的文章极少。 什么是TFT屏偏压电路?现代的液晶电视都是采用TFT屏作为图像终端显示屏,由于我们现在的电视信号(包括各种视频信号)是专门为CRT显示而设计的,液晶屏和CRT的显示成像方式完全不同,液晶屏要显示专门为CRT而设计的电视信号,就必须对信号的结构、像素排列顺序、时间关系进行转换,以便液晶屏能正确显示。 图像信号的转换,这是一个极其复杂、精确的过程;先对信号进行存储,然后根据信号的标准及液晶屏的各项参数进行分析计算,根据计算的结果在按规定从存储器中读取预存的像素信号,并按照计算的要求重新组合排列读取的像素信号,成为液晶屏显示适应的信号。这个过程把信号的时间过程、排列顺序都进行了重新的编排,并且要产生控制各个电路工作的辅助信号。重新编

排的像素信号在辅助信号的协调下,施加于液晶屏正确的重现图像。 每一个液晶屏都必须有一个这样的转换电路,这个电路就是我们常说的“时序控制电路”或“T-CON(提康)电路”,也有称为“逻辑板电路”的。这个电路包括液晶屏周边的“行、列驱动电路”构成了一个液晶屏的驱动系统。也是一个独立的整体。这个独立的整体是由时序电路、存储电路、移位寄存器、锁存电路、D/A变换电路、译码电路、伽马(Gamma)电路(灰阶电压)等组成,这些电路的正常工作也需要各种不同的工作电压,并且还要有一定的上电时序关系,不同的屏,不同的供电电压。为了保证此电路正常工作,一般对这个独立的驱动系统单独的设计了一个独立的开关电源供电(这个向液晶屏驱动系统供电的开关电源一般就称为:TFT偏压电路);由整机的主开关电源提供一个5V或12V 电压,给这个开关电源供电,并由CPU控制这个开关电源工作;产生这个独立的驱动系统电路提供所需的各种电压,就好像我们的电视机是一个独立的系统他有一个单独的开关电源,DVD机是一个独立的系统他也有一个单独的开关电源一样。是非常重要也是故障率极高的部分(开关电源都是故障率最高的部分,要重点考虑)。图1所示是液晶屏驱动系统框图。从图中可以看出,其中的“TFT偏压供电开关电源”就是这个独立系统电路的供电电源它产生这个驱动系统电路需要的各种电压,有VDD、VDA、VGL和VGH电压供各电路用。

led液晶显示器的驱动原理

led液晶显示器的驱动原理 LED液晶显示器的驱动原理 艾布纳科技有限公司 前两次跟大家介绍有关液晶显示器操作的基本原理, 那是针对液晶本身的特性,与 TFT LCD 本身结构上的操作原理来做介绍. 这次我们针对 TFT LCD 的整体系统面来做介绍, 也就是对其驱动原理来做介绍, 而其驱动原理仍然因为一些架构上差异的关系, 而有所不同. 首先我们来介绍由于 Cs(storage capacitor)储存 电容架构不同, 所形成不同驱动系统架构的原理. Cs(storage capacitor)储存电容的架构 一般最常见的储存电容架构有两种, 分别是Cs on gate与Cs on common这两种. 这两种顾名思义就可以知道, 它的主要差别就在于储存电容是利用gate走线或是common走线来完成的. 在上一篇文章中, 我曾提到, 储存电容主要是为了让充好电的电压,能保持到下一次更新画面的时候之用. 所以我们就必须像在 CMOS 的制程之中, 利用不同层的走线, 来形成平行板电容. 而在TFT LCD的制程之中, 则是利用显示电极与gate走线或是common走线,所形成的平行板电容,来制作出储存电容Cs.

图1就是这两种储存电容架构, 从图中我们可以很明显的知道, Cs on gate由于不必像Cs on common一样, 需要增加一条额外的common走线, 所以它的开口率(Aperture ratio)会比较大. 而开口率的大小, 是影响面板的亮度与设计的重要因素. 所以现今面板的设计大多使用Cs on gate的方式. 但是由于Cs on gate的方式, 它的储存电容是由下一条的gate走线与显示电极之间形成的.(请见图2的Cs on gate与Cs on common的等效电路) 而gate走线, 顾名思义就是接到每一个TFT 的gate端的走线, 主要就是作为gate driver送出信号, 来打开TFT, 好让TFT对显示电极作充放电的动作. 所以当下一条gate走线, 送出电压要打开下一个TFT时 , 便会影响到储存电容上储存电压的大小. 不过由于下一条gate走线打开到关闭的时间很短,(以1024*768分辨率, 60Hz更新频率的面板来说. 一条gate走线打开的时间约为20us, 而显示画面更新的时间约为16ms, 所以相对而言, 影响有限.) 所以当下一条gate走线关闭, 回复到原先的电压, 则Cs储存电容的电压, 也会随之恢复到正常. 这也是为什么, 大多数的储存电容设计都是采用Cs on gate的方式的原因.

基于液晶显示屏的低功耗驱动技术 王会霞

基于液晶显示屏的低功耗驱动技术王会霞 发表时间:2018-01-02T16:54:23.600Z 来源:《基层建设》2017年第28期作者:王会霞 [导读] 摘要:在当今的信息社会,随着通信信息化的飞速发展,和交换信息的摄取信息沟通的日益增多,人们越来越频繁地面对在各种显示装置,电子技术必然面临着低成本、低功耗便携式信息设备领域,市场趋于液晶屏幕,高清晰度,高分辨率,低功耗,具有很广阔的应用前景。 宁波群创光电有限公司浙江宁波 315800 摘要:在当今的信息社会,随着通信信息化的飞速发展,和交换信息的摄取信息沟通的日益增多,人们越来越频繁地面对在各种显示装置,电子技术必然面临着低成本、低功耗便携式信息设备领域,市场趋于液晶屏幕,高清晰度,高分辨率,低功耗,具有很广阔的应用前景。本文从各个方面总结了现有低功耗设计的优缺点,并将现有的低功耗技术结合起来实现超低功耗驱动技术。 关键词:液晶显示屏;低功耗;显示驱动 1前言 随着TFT-LCD的广泛应用,显示技术升级换代。目前,技术的发展主要集中在轻量化、触摸控制、高分辨率、宽视角、高色域、立体显示、低功耗等方面。特别是随着绿色节能标准的逐步完善,降低产品功耗的相关技术要求也越来越高。这种需求在笔记本电脑、平板电脑、手机、可穿戴显示等由电池供电产品尤中已经成为重要的竞争产品,因此研究具有重要的意义。 2降低功耗的主要技术点 2.1不同像素结构及驱动方法对功耗的影响 随着TFT-LCD技术的不断发展,成本因素成为TFT液晶显示器设计中必须考虑的一个重要因素。目前的设计采用双栅结构,这种结构的最大优点是减少数据线的数量,从而节省source IC数量,降低成本。 2.2像素结构的设计与功耗密切相关。 如果液晶分子在固定电压下保持恒定,液晶分子的性质就会固化。因此,液晶驱动信号只能是交流电压。实现像素的极性,正负交替驱动,许多方面,点2h1v翻转,列翻转,行翻转,dot翻转等等。大不同驱动模式之间的权力差异,根据对14hd产品传统的产品结构试验,对比了列翻转、2line翻转、1+2line翻转、dot翻转等驱动方式下的功耗,结果表明,比dot翻转模式相比,列翻转将对传输功率的增加38.5%。 2.3像素负载以及像素驱动信号对功耗的影响 在TFT - LCD中,有大量的门和数据定向的面板金属跟踪,并且数据侧也有等效的液晶电容和像素驱动的电压存储电容器。负荷数据线主要由电阻和电容的数据线。电容主要由两部分组成,一是电容之间的耦合电容CGD和CDC的栅线和数据资料和COM两像素存储电容CST和液晶电容CLC。Gate和Data的负载可以相当于多个串联RC网络。两网络负载,在对功耗Gate影响方向负载小;大部分的电力是由source IC产生,约10% ~ 15%源的总功耗栅极的功率消耗,能耗约占总能耗的45%。功率消耗由ac和DC两部分组成。DC的功耗是由 IC 内部单元的静态工作电流产生的,AC的功耗是由 IC充电的数据线导出的。以14.0hd和14fhd产品为例的像素设计,通过模拟发现像素负载每上升 10%,功耗约有5%-8%的上升,如表1所示。 Gate负责驱动面板TFT的栅极,并负责TFT器件的开关。在GOA的产品,因为转移等级是集成在面板的VGH和VGL信号是通过外部的level shifter产生,作用在寄存器。整个GOA的单位能耗约为25%至35%的整体功耗,因此功耗可降低栅极信号优化。对11.6hdgoa产品,例如,VGH每增加1V,功率消耗增加1.35mw。VGL每提高1V,功耗降低约14.5mw。 在GOA的产品,如果GOA clock信号是MLG,降低功耗的效果可以达到。信号如图1所示,和MLG的信号有两个关键参数,裁剪的水平(VGM)和时间的角夹。

液晶显示器电源工作原理及维修

液晶显示器电源工作原理及维修 详细介绍液晶显示器电源的作用、工作原理、维修及代换, 一、电源的作用 1、电源的基本知识 液晶电源的作用是为整机提供能量,常见的电源适配器外观如图所示 它的输入是220V交流电,输出为12V、4A直流电。电源适配器的内部电路结构如图所示

2、液晶电源的常见存在形式 常见的液晶电源有内置式和外置式两种。内置式电源一般是和高压板做在一起,形成二合一电源板,驱动板需要的各路电压均有电源板产生。外置式电源也就是通常所说的电源适配器,它一般是220V交流电输入,12V直流电输出,驱动板需要的其他电原在驱动板上进行变换。 二、电源的工作原理 由于LCD采用低电压工作,而一般市电提供提是110V或220V的交流电压,因此显示器需要配备电源。电源的作用是将市电的220V交流电压转变成12V或其它低压直流电,以向液晶显示器供电。 LCD显示器中的电源部分均采用开关电源。由于开关电源具有体积小、重量轻、变换效率高等优点,因此被广泛应用于各种电子产品中,特别是脉宽调制(PWM)型的开关电源。PW M型开关电源的特点是固定开关频率、通过改变脉冲宽度的占空比来调节电压。 PWM开关电源的基本工作原理是:交流电220V输入电源经整流滤波是路变成300V直流电压,再由开关功率管控制和高频变压器降压,得到高频矩形波电压,经整流滤波后获得显示器所需要的各种直流输出电压。脉宽调制器是这类开关电源的核心,它能产生频率固定具脉冲宽度可调的驱动信号,控制开关功率管的导通与截止的占空比,用来调节输出电压的高低,从而达到稳压的目的。 以下将要介绍的电源适配器就是此类开关电源,我们以采用UC3842脉宽调制集成控制器的电源为例讲解相关电路。 1、UC3842的性能特点 (1)它属于电流型单端PWM调制器,具有管脚数量少,外围是路简单、安装调试方便、性能优良、价格低廉等优点。而且通过高频变压器与电网隔离,适合构成无工频变压器的20-50W小功率开关电源。 (2)最高开关频率为500KHZ,频率稳定度高达0.2%。电源效率高,输出电流大,能直接驱动双极型功率晶体管或VMOS管、DMOS管、TMOS管工作。 (3)内部有高稳定的基准电压源,档准值为5V,允许有+0.1%的偏差,温度系数为

HT1621液晶显示驱动详细原理及程序

Ht1621液晶显示详细驱动使用说明以及程序 1.概述 HT1621是128点内存映象和多功能的LCD驱动器,HT1621的软件配置特性使它适用于多种LCD应用场合,包括LCD模块和显示子系统。用于连接主控制器和 HT1621的管脚只有4或5条,HT1621还有一个节电命令用于降低系统功耗。 在虎风所做的这个系统中ht1621用于驱动一个静态的LCD液晶显示器。液晶显示的方式分为静态显示和动态显示。静态与动态的区别在于静态显示是持续供电的,而动态显示是利用人的视觉停留效果,快速扫描数码管各个段,让人在视觉上感觉到数码管是同时显示的。 2.HT1621接线原理图 3.静态LCD结构图

4.几个曾经纠结的概念 Time base:时基,即时间基准,可以用来输出,作为外部时钟的时间基准。 占空比:将所有公共电极(COM)各施加一次扫描电压的时间叫一帧,单位时间内扫描多少帧的频率叫帧频,将扫描公共电极(COM)选通的时间与帧周期之比叫占空比。通常占空比等于公共电极数N的倒数,即1/N。这就是说假如你要驱动4个液晶,就需要4个COM,那么你的占空比就要设定为1/4。 偏压比:指的是液晶的偏压系数,可以看看专业技术文章,偏压目的是克服交叉效应,通过把半选择点与非选择点的电压平均,适度提高非选择点的电压来抵消半选择点上的一部分电压,使半选择点上的电压下降,从而提高显示对比度;最终行半选择点和非选择点上的电压均为显示电压的1/a,1/a就称为偏压系数,也称为偏压。此方法称为1/a偏压的平均电压法,简称为1/a偏压法。 VLCD(LCD驱动电压): LCD的驱动电压为加在点亮部分的段电压与公共电压之差(峰-峰值)。 5.关于RAM地址映射的概念 为了这个问题困扰了很久,虎风太愚钝啦…… Ht1621有一个32*4的LCD驱动,映射到32*4的RAM地址。

液晶显示驱动原理1

TFT LCD液晶显示器的驱动原理(一) 谢崇凯 前两次跟大家介绍有关液晶显示器操作的基本原理, 那是针对液晶本身的特性,与TFT LCD本身结构上的操作原理来做介绍. 这次我们针对TFT LCD的整体系统面来做介绍, 也就是对其驱动原理来做介绍, 而其驱动原理仍然因为一些架构上差异的关系, 而有所不同. 首先我们来介绍由于CS(storage capacitor)储存电容架构不同, 所形成不同驱动系统架构的原理. CS(storage capacitor)储存电容的架构 一般最常见的储存电容架构有两种, 分别是cs on gate与cs on common这两种. 这两种顾名思义就可以知道, 它的主要差别就在于储存电容是利用gate走线或是common走线来完成的. 在上一篇文章中, 我曾提到, 储存电容主要是为了让充好电的电压,能保持到下一次更新画面的时候之用. 所以我们就必须像在CMOS的制程之中, 利用不同层的走线, 来形成平行板电容. 而在TFT LCD的制程之中, 则是利用显示电极与gate走线或是common走线,所形成的平行板电容,来制作出储存电容CS. 图1就是这两种储存电容架构, 从图中我们可以很明显的知道, cs on gate由于不必像cs on common一样, 需要增加一条额外的common走线, 所以它的开口率(Aperture ratio)会比较大. 而开口率的大小, 是影响面板的亮度与设计的重要因

素. 所以现今面板的设计大多使用cs on gate的方式. 但是由于cs on gate的方式, 它的储存电容是由下一条的gate走线与显示电极之间形成的.(请见图2的cs on gate 与cs on common的等效电路) 而gate走线, 顾名思义就是接到每一个TFT的gate端的走线, 主要就是作为gate driver送出信号, 来打开TFT, 好让TFT对显示电极作充放电的动作. 所以当下一条gate走线, 送出电压要打开下一个TFT时 ,便会影响到储存电容上储存电压的大小. 不过由于下一条gate走线打开到关闭的时间很短,(以1024*768分辨率, 60Hz更新频率的面板来说. 一条gate走线打开的时间约为20us, 而显示画面更新的时间约为16ms, 所以相对而言, 影响有限.) 所以当下一条gate 走线关闭, 回复到原先的电压, 则cs储存电容的电压, 也会随之恢复到正常. 这也是为什么, 大多数的储存电容设计都是采用cs on gate的方式的原因. 至于common走线, 我们在这边也需要顺便介绍一下. 从图2中我们可以发现, 不管您采用怎样的储存电容架构, Clc的两端都是分别接到显示电极与common. 既然液晶是充满在上下两片玻璃之间, 而显示电极与TFT都是位在同一片玻璃上, 则common电极很明显的就是位在另一片玻璃之上. 如此一来, 由液晶所形成的平行板电容Clc, 便是由上下两片玻璃的显示电极与common电极所形成. 而位于cs储存电容上的common电极, 则是另外利用位于与显示电极同 一片玻璃上的走线, 这跟Clc上的common电极是不一样的, 只不过它们最后都是接到相同的电压就是了.

TFT-LCD液晶显示器的驱动原理

TFT-LCD液晶显示器的驱动原理 LCD显示器在近年逐渐加快了替代CRT显示器的步伐,你打算购买一台LCD吗?你了解LCD吗?液晶显示器和传统的CRT显示器,在其发光的技术原理上有什么不同?传统的CRT 显示器主要是依靠显象管内的电子枪发射的电子束射击显示屏内侧的荧光粉来发光,在显示器内部人造磁场的有意干扰下,电子束会发生一定角度的偏转,扫描目标单元格的荧光粉而显示不同的色彩。而TFT-LCD却是采用“背光(backlight)”原理,使用灯管作为背光光源,通过辅助光学模组和液晶层对光线的控制来达到较为理想的显示效果。 液晶是一种规则性排列的有机化合物,它是一种介于固体和液体之间的物质,目前一般采用的是分子排列最适合用于制造液晶显示器的nematic细柱型液晶。液晶本身并不能构发光,它主要是通过因为电压的更改产生电场而使液晶分子排列产生变化来显示图像。 液晶面板主要是由两块无钠玻璃夹着一个由偏光板、液晶层和彩色滤光片构成的夹层所组成。偏光板、彩色滤光片决定了有多少光可以通过以及生成何种颜色的光线。液晶被灌在两个制作精良的平面之间构成液晶层,这两个平面上列有许多沟槽,单独平面上的沟槽都是平行的,但是这两个平行的平面上的沟槽却是互相垂直的。简单的说就是后面的平面上的沟槽是纵向

排列的话,那么前面的平面就是横向排列的。位于两个平面间液晶分子的排列会形成一个Z轴向90度的逐渐扭曲状态。背光光源即灯管发出的光线通过液晶显示屏背面的背光板和反光膜,产生均匀的背光光线,这些光线通过后层会被液晶进行Z 轴向的扭曲,从而能够通过前层平面。如果给液晶层加电压将会产生一个电场,液晶分子就会重新排列,光线无法扭转从而不能通过前层平面,以此来阻断光线。 LCD由两块玻璃板构成,厚约1mm,其间由包含有液晶(LC)材料的5μm均匀间隔隔开。因为液晶材料本身并不发光,所以在显示屏两边都设有作为光源的灯管,而在液晶显示屏背面有一块背光板(或称匀光板)和反光膜,背光板是由荧光物质组成的可以发射光线,其作用主要是提供均匀的背景光源。背光板发出的光线在穿过第一层偏振过滤层之后进入包含成千上万水晶液滴的液晶层。液晶层中的水晶液滴都被包含在细小的单元格结构中,一个或多个单元格构成屏幕上的一个像素。在玻璃板与液晶材料之间是透明的电极,电极分为行和列,在行与列的交叉点上,通过改变电压而改变液晶的旋光状态,液晶材料的作用类似于一个个小的光阀。在液晶材料周边是控制电路部分和驱动电路部分。当LCD中的电极产生电场时,液晶分子就会产生扭曲,从而将穿越其中的光线进行有规则的折射,然后经过第二层过滤层的过滤在屏幕上显示出来。 液晶显示器的缺点在于亮度、画面均匀度、可视角度和反应

TFT-LCD液晶显示器的工作原理(上)

TFT-LCD液晶显示器的工作原理(上) 谢崇凯 我一直记得,当初刚开始从事有关液晶显示器相关的工作时,常常遇到的困扰,就是不知道怎么跟人家解释,液晶显示器是什么? 只好随着不同的应用环境,来解释给人家听。在最早的时候是告诉人家,就是掌上型电动玩具上所用的显示屏,随着笔记型计算机开始普及,就可以告诉人家说,就是使用在笔记型计算机上的显示器。随着手机的流行,又可以告诉人家说,是使用在手机上的显示板。时至今日,液晶显示器,对于一般普罗大众,已经不再是生涩的名词。而它更是继半导体后另一种可以再创造大量营业额的新兴科技产品,更由于其轻薄的特性,因此它的应用范围比起原先使用阴极射线管(CRT,cathode-ray tube)所作成的显示器更多更广。 如同我前面所提到的,液晶显示器泛指一大堆利用液晶所制作出来的显示器。而今日对液晶显示器这个名称,大多是指使用于笔记型计算机,或是桌上型计算机应用方面的显示器。也就是薄膜晶体管液晶显示器。其英文名称为Thin-film transistor liquid crystal display,简称之TFT LCD。从它的英文名称中我们可以知道,这一种显示器它的构成主要有两个特征,一个是薄膜晶体管,另一个就是液晶本身。我们先谈谈液晶本身。 液晶(LC,liquid crystal)的分类 我们一般都认为物质像水一样都有三态,分别是固态液态跟气态。其实物质的三态是针对水而言,对于不同的物质,可能有其它不同的状态存在。以我们要谈到的液晶态而言,它是介于固体跟液体之间的一种状态,其实这种状态仅是材料的一种相变化的过程(请见图1),只要材料具有上述的过程,即在固态及液态间有此一状态存在,物理学家便称之为液态晶体。

液晶显示器高压板电路基本工作原理

液晶显示器高压板电路基本工作原理2010-06-11 10:21

高压板电路是一种DC/AC(直流/交流)变换器,它的工作过程就是开关电源工作的逆变过程。开关电源是将市电电网的交流电压转变为稳定的12V直流电压,而高压板电路正好相反,将开关电源输出的12V直流电压转变为高频(40~80kHz)的高压(600~800V)交流电。 电路主要由驱动电路(振荡电路、调制电路)、直流变换电路、Royer结构的驱动电路、保护检测电路、谐振电容、输出电流取样、CCFL等组成。在实际的高压板中,常将振荡器、调制器、保护电路集成在一起,组成一块小型集成电路,一般称为PWM控制IC。 驱动电路采用Royer结构形式。Royer结构的驱动电路也称为自激式推挽多谐振荡器,主要由功率输出管及升压变压器等组成, 、 组成一个具有亮度调整和保护功能的高压板电路。 图中的ON/OFF为振荡器启动/停止控制信号输入端,该控制信号来自驱动板(主板)微控制器(MCU)。当液晶显示器由待机状态转为正常工作状态后,MCU向振荡器送出启动工作信号(高/低电平变化信号),振荡器接收到信号后开始工作,产生频率40~80kHz的振荡信号送入调制器,在调制器内部与PWM激励脉冲信号,送往直流变换电路,使直流变 Royer L1(相当于电感)组成自激振荡电路,产生的振荡信号经功率放大和升压变压器升压耦合,输出高频交流高压,点亮背光灯管。 为了保护灯管,需要设置过电流和过电压保护电路。过电流保护检测信号从串联在背光灯管上的取样电阻R上取得,输送到驱动控制IC IC。当输出电压及背光灯管工作电流出现异常时,驱动控制IC控制调制器停止输出,从而起到保护的作用。 调节亮度时,亮度控制信号加到驱动控制IC,通过改变驱动控制IC输出的PWM脉冲的占空比,进而改变直流变换器输出的直流电压大小,也就改变了加在驱动输出管上的电压大小,即改变了自激振荡的振荡幅度,从而使升压变压器输出的信号幅度、CCFL两端的电压幅度发生变化,达到调节亮度的目的。 该电路只能驱动一只背光灯管。由于背光灯管不能并联或串联应用,所以,若需要驱动多只背光灯管,必须由相应的多个升压变压器输出电路及相适配的激励电路来驱动。

液晶屏原理

液晶屏原理 1.液晶显示器(LCD)目前科技信息产品都朝着轻、薄、短、小的目标发展,在计算机周边中拥有悠久历史的显示器产品当然也不例外。在便于携带与搬运为前题之下,传统的显示方式如CRT映像管显示器及LED显示板等等,皆受制于体积过大或耗电量甚巨等因素,无法达成使用者的实际需求。而液晶显示技术的发展正好切合目前信息产品的潮流,无论是直角显示、低耗电量、体积小、还是零辐射等优点,都能让使用者享受最佳的视觉环境。 2.液晶的诞生要追溯液晶显示器的来源,必须先从「液晶」的诞生开始讲起。在公元1888年,一位奥地利的植物学家,菲德烈.莱尼泽(Friedrich Reinitzer)发现了一种特殊的物质。他从植物中提炼出一种称为螺旋性甲苯酸盐的化合物,在为这种化合物做加热实验时,意外的发现此种化合物具有两个不同温度的熔点。而它的状态介于我们一般所熟知的液态与固态物质之间,有点类似肥皂水的胶状溶液,但它在某一温度范围内却具有液体和结晶双方性质的物质,也由于其独特的状态,后来便把它命名为「Liquid Crystal」,就是液态结晶物质的意思。不过,虽然液晶早在1888年就被发现,但是真正实用在生活周遭的用品时,却是在80年后的事情了。公元1968年,在美国RCA公司(收音机与电视的发明公司)的沙诺夫研发中心,工程师们发现液晶分子会受到电压的影响,改变其分子的排列状态,并且可以让射入的光线产生偏转的现象。利用此一原理,RCA公司发明

了世界第一台使用液晶显示的屏幕。尔后,液晶显示技术被广泛的用在一般的电子产品中,举凡计算器、电子表、手机屏幕、医院所使用的仪器(因为有辐射计量的考虑)或是数字相机上面的屏幕等等。令人玩味的是,液晶的发现比真空管或是阴极射线管还早,但世人了解此一现象的并不多,直到1962年才有第一本,由RCA研究小组的化学家乔.卡司特雷诺(Joe Castellano)先生所出版的书籍来描述。而与映像管相同的,这两项技术虽然都是由美国的RCA公司所发明的,却分别被日本的新力(Sony)与夏普(Sharp)两家公司发扬光大。 3.什么是液晶液晶显示器是以液晶材料为基本组件,由于液晶是介于固态和液态之间,不但具有固态晶体光学特性,又具有液态流动特性,所以已经可以说是一个中间相。而要了解液晶的所产生的光电效应,我们必须来解释液晶的物理特性,包括它的黏性(visco-sity)与弹性(elasticity)和其极化性(polarizalility)。液晶的黏性和弹性从流体力学的观点来看,可说是一个具有排列性质的液体,依照作用力量不同的方向,应该有不同的效果。就好像是将一把短木棍扔进流动的河水中,短木棍随着河水流着,起初显得凌乱,过了一会儿,所有短木棍的长轴都自然的变成与河水流动的方向一致,这表示着次黏性最低的流动方式,也是流动自由能最低的一个物理模型。此外,液晶除了有黏性的反应外,还具有弹性的反应,它们都是对于外加的力量,呈现了方向性的效果。也因此光线射入液晶物质中,必然会按照

LCD液晶显示屏工作原理

LCD 液晶显示屏工作原理 一、工作原理和概念术语 1、液晶显示屏的工作原理 液晶(Liquid Crystal ):是一种介于固态和液态之间的具有规则性分子排列,及晶体的光学各向异性的有机化合物,液晶在受热到一定温度的时候会呈现透明状的液体状态,而冷却则会出现结晶颗粒的混浊固体状态,因为物理上具有液体与晶体的特性,故称之为“液晶”。 液晶显示器LCD (Liquid Crystal Display ):是新型平板显示器件。显示器中的液晶体并不发光,而是控制外部光的通过量。当外部光线通过液晶分子时,液晶分子的排列扭曲状态不同,使光线通过的多少就不同,实现了亮暗变化,可重现图像。液晶分子扭曲的大小由加在液晶分子两边的电压差的大小决定。因而可以实现电到光的转换。即用电压的高低控制光的通过量,从而把电信号转换成光像。 (1)、液晶分子的电-光特性(如图2-1所示) (2)、液晶的电光控制特性(如图2-2所示) (a) (光 光控制电压010 9050%液晶显示器的电光特性(常暗模式) 101009050%b )液晶显示器的电光特性(常亮模式) 液晶显示器的电光控制特性 图中Uth —阈值电压(临界电压);Usat —饱和电压 透过率透过率控制电压 图2-1液晶的电-光特性图 图2-2 旋光性

(3)、 液晶分子排列状态的改变可实现对光的控制 液晶分子在偏光板间排列成多层,在不同层间, 液晶分子的长轴沿偏光板平行平面连续扭转90°,与偏光板的偏振光方向一致的偏振光,垂直射向无外加电场的液晶分子时,入射光将因其偏振方向随液晶分子轴的扭曲而旋转射出。故称为扭曲向列型液晶显示器。 当给液晶层施以某一电压差时,液晶分子会改变它的初始排列状态而不扭转,不改变光的极化方向,因此经过液晶的光会被第二层偏光片吸收而整个结构呈现不透光的状态。 2、概念和术语 (1)、光学的各向异性 液晶的特有性质,改变液晶两端电压,可改变液晶某一方向折射出的光的大小 (2)、偏振片(器) 只能在特定方向上透过光线的器件 (3)、像素、子像素、节距、分辨率(如图2-3所示) (4)、视角 当背光源的入射光通过偏极片、液晶后,输出光便具备了特定的方向特性,假如从一个非常斜的角度观看一个全白的画面,我们可能会看到黑色或是色彩失真。这个效应在某些场合有用,但在大部分的应用上是我们不希望要的。制造商们已经花了很多时间来试图改善液晶显示器的视角特性,有数种广视角技术被提出:IPS(IN-PLANE -SWITCHING 、MVA(MULTI-DOMAIN VERTICAL ALIGNMENT)、TN+FILM 。 这些技术都能把液晶显示器的视角增加到160度,甚至更多,就如同CRT 屏幕的视角特性一样。最大视角的定义是对比值至少能达到10:1的视角(通常有四个方向,上/下/左/右),如图2-4。 平板显示器的象素结构 绿、蓝三个组成一个像1024 列) 图2-3 平板显示器的像素结构 水平视角 显示器件的视角 图2-4 显示器件的视角

相关主题
文本预览
相关文档 最新文档