当前位置:文档之家› 基于单片机的脉搏测量仪设计毕业设计(论文)

基于单片机的脉搏测量仪设计毕业设计(论文)

基于单片机的脉搏测量仪设计毕业设计(论文)
基于单片机的脉搏测量仪设计毕业设计(论文)

分类号 TP216 单位代码 11395 密级学号 0905270128

学生毕业设计(论文)

题目基于单片机的脉搏测量仪设计

作者

院 (系)

专业测控技术与仪器

指导教师

答辩日期2013 年 6 月 1 日

毕业设计(论文)诚信责任书

本人郑重声明:所呈交的毕业设计(论文),是本人在导师的指导下独立进行研究所取得的成果。毕业设计(论文)中凡引用他人已经发表或未发表的成果、数据、观点等,均已明确注明出处。尽我所知,除文中已经注明引用的内容外,本论文不包含任何其他个人或集体已经公开发表或撰写过的研究成果。对本文的研究做出重要贡献的个人和集体,均已在文中以明确方式标明。矚慫润厲钐瘗睞枥庑赖。

本人毕业设计(论文)与资料若有不实,愿意承担一切相关的法律责任。

论文作者签名:

年月日

摘要

脉搏波所呈现出来的形态、强度、速率和节律等方面的综合信息,能反映出人体心血系统中许多生理疾病的血流特征。根据人体脉搏信号特征,本论文设计了一种基于单片机的脉搏测量系统。聞創沟燴鐺險爱氇谴净。

系统采用红外发射与接收二极管充当脉搏传感器来采集脉搏信号。首先将采集到的信号通过低通滤波与放大电路对脉搏信号进行处理,然后,将放大的脉搏信号通过整形电路进行电压基准变化,在经过一次放大电路对整形后的脉搏信号进行放大,将信号转换为AT89S52单片机易于处理的脉冲信号。通过单片机编程对脉冲信号进行处理,测量出一分钟内的脉搏次数,最终在数码管中直观的显示出来。残骛楼諍锩瀨濟溆塹籟。

为了节省时间,一般不会作一分钟的测量,通常是测量10秒钟时间内的脉搏数,再把结果乘以6即得到每分钟的脉搏数。发光二极管可以通过发光的形式显示脉搏的跳动。酽锕极額閉镇桧猪訣锥。

关键词:脉搏测量仪;AT89S52;LED;信号处理

xx大学本科毕业设计(论文)

The Design of Pulse Measurement Instrument Based on Single Chip Microcomputer彈贸摄尔霁毙攬砖卤庑。

ABSTRACT

Comprehensive information form, strength, speed and rhythm of the pulse wave show, can reflect the human cardiovascular system flow characteristic in many physiological diseases. According to the characteristics of the human pulse signals, this paper designed a pulse measurement system based on mcu.謀荞抟箧飆鐸怼类蒋薔。

System uses infrared emitting and receiving diode acts as a pulse sensor to collect the pulse signal. Firstly, the collected signal through low-pass filtering and amplifying circuit for pulse signal processing, then, the pulse signal amplification of the voltage reference change through the shaping circuit, after an amplifying circuit amplifies the pulse signal after shaping, the signal is converted into AT89S52 microcontroller manageable pulse signal. Processing through the MCU programming on the pulse signal, measured the pulse of one minute, times, finally in the digital tube display.厦礴恳蹒骈時盡继價骚。

In order to save time, generally not as a measure of a minute, often is the number of pulse measurement 10 seconds, then the result is multiplied by 6 to obtain the pulse number per minute. Light emitting diode can be displayed by light pulse.茕桢广鳓鯡选块网羈泪。

Key words: Pulse measuring instrument; AT89S52; LED; Signal processing鹅娅尽損鹌惨歷茏鴛賴。

目录

摘要 ..................................................................................................... 籟丛妈羥为贍偾蛏练淨。ABSTRACT ...................................................................................... I預頌圣鉉儐歲龈讶骅籴。

1 绪论 .............................................................................................. 0渗釤呛俨匀谔鱉调硯錦。

1.1 脉搏测量仪介绍 ................................................................ 0铙誅卧泻噦圣骋贶頂廡。

1.2 脉搏测量仪的应用 ............................................................ 0擁締凤袜备訊顎轮烂蔷。

1.3 本设计所要实现的目标 .................................................... 1贓熱俣阃歲匱阊邺镓騷。

1.4 本文的设计方案:采用以单片机为核心的控制方案 .... 1坛摶乡囂忏蒌鍥铃氈淚。

2 主要器件介绍 .............................................................................. 2蜡變黲癟報伥铉锚鈰赘。

2.1 单片机的选择 .................................................................... 2買鲷鴯譖昙膚遙闫撷凄。

2.1.1 AT89S52简介 .......................................................... 2綾镝鯛駕櫬鹕踪韦辚糴。

2.1.2 AT89S52的特点 ...................................................... 2驅踬髏彦浃绥譎饴憂锦。

2.1.3 AT89S52引脚功能说明 .......................................... 3猫虿驢绘燈鮒诛髅貺庑。

2.2 传感器的选择 .................................................................... 5锹籁饗迳琐筆襖鸥娅薔。

2.2.1 红外发光二极管简介 .............................................. 6構氽頑黉碩饨荠龈话骛。

2.2.2 光敏三极管简介 ...................................................... 6輒峄陽檉簖疖網儂號泶。

2.3 驱动芯片的选择 ................................................................ 7尧侧閆繭絳闕绚勵蜆贅。

2.3.1 74LS245简介 .......................................................... 7识饒鎂錕缢灩筧嚌俨淒。

2.3.2 74LS04简介 ............................................................ 8凍鈹鋨劳臘锴痫婦胫籴。

2.4 显示器的选择 .................................................................... 8恥諤銪灭萦欢煬鞏鹜錦。

2.4.1 三位共阳八段数码管简介 ...................................... 8鯊腎鑰诎褳鉀沩懼統庫。

2.4.2 八段数码管字形表 .................................................. 9硕癘鄴颃诌攆檸攜驤蔹。

3 系统硬件设计 .............................................................................. 10阌擻輳嬪諫迁择楨秘騖。

3.1 设计原理 .......................................................................... 10氬嚕躑竄贸恳彈瀘颔澩。

3.2 外围电路 .......................................................................... 10釷鹆資贏車贖孙滅獅赘。

3.2.1 电源电路 ................................................................ 10怂阐譜鯪迳導嘯畫長凉。

3.2.2 复位电路 ................................................................ 11谚辞調担鈧谄动禪泻類。

3.2.3 晶振电路 ................................................................ 12嘰觐詿缧铴嗫偽純铪锩。

3.2.4 脉搏信号采集放大电路 ........................................ 13熒绐譏钲鏌觶鷹緇機库。

3.2.5 LED显示电路 ....................................................... 14鶼渍螻偉阅劍鲰腎邏蘞。

4 系统软件设计 ............................................................................ 16纣忧蔣氳頑莶驅藥悯骛。

5 软件调试及仿真 ........................................................................ 18颖刍莖蛺饽亿顿裊赔泷。

5.1 软件编译 .......................................................................... 18濫驂膽閉驟羥闈詔寢賻。

5.1.1 工程的创建 ............................................................ 18銚銻縵哜鳗鸿锓謎諏涼。

5.1.2 单片机的选择 ........................................................ 19挤貼綬电麥结鈺贖哓类。

5.1.3 程序的编译 ............................................................ 19赔荊紳谘侖驟辽輩袜錈。

5.2 系统仿真测试 .................................................................. 21塤礙籟馐决穩賽釙冊庫。

6 结论 ............................................................................................ 23裊樣祕廬廂颤谚鍘羋蔺。参考文献 .......................................................................................... 25仓嫗盤紲嘱珑詁鍬齊驁。致谢 .............................................................................................. 27绽萬璉轆娛閬蛏鬮绾瀧。附录A .............................................................................................. 29骁顾燁鶚巯瀆蕪領鲡赙。附录B .............................................................................................. 31瑣钋濺暧惲锟缟馭篩凉。

基于单片机的脉搏测量仪设计

1绪论

1.1脉搏测量仪介绍

脉搏测量仪是用来测量一个人脉搏跳动次数的电子仪器,也是心电图的主要组成部分,因此,在现代医学上具有非常重要的作用。随着人们生活环境和经济条件的改善,以及文化素质的提高,其生活方式,保健需求以及疾病种类、治疗措施等发生了明显的变化。但在目前,我国的心脑血管疾病仍呈逐年上升趋势。其发病率和死亡率均居各种疾病之首,是人类死亡的主要原因之一。而脉搏测量仪在预防和发现这些疾病中有着至关重要的作用。鎦诗涇艳损楼紲鯗餳類。

从脉搏波中提取人体的生理病理信息作为临床诊断和治疗的依据,历来都受到中外医学界的重视。几乎世界上所有的民族都用过“摸脉”作为诊断疾病的手段,中医中脉诊在“望、闻、问、切”四诊中占有非常重要的地位。脉搏是常见的生理现象,蕴含着丰富的人体生理病理信息。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波的采集和处理具有很高的医学价值和应用前景。栉缏歐锄棗鈕种鵑瑶锬。

1.2脉搏测量仪的应用

20世纪50年代初,朱颜将脉搏器引入到中医脉诊的客观化研究方面。此后随着机械和电子技术的发展,中医脉象仪方面的研究进展很快,尤其是七十年代中期,天津、上海、江西等地相继成立了跨学科的脉象研究协作组织,多学科共同合作促使其进入了一个新的发展水平。脉象探头式样很多,有单部、三部、单点、多点、刚性接触式、软性接触式、气压式、硅杯式、液态汞、液态水、子母式等组成。脉象探头的主要原件有应变片、压电晶体、单晶硅、光敏元件、PVDF压电薄膜等。其中以单部单点应变片最为广泛,不过近年来正在向三部多点式方向设计[1]。辔烨棟剛殓攬瑤丽阄应。

目前脉搏测量仪在许多领域被广泛利用,除了应用与医学领域,如无创心血管功能检测、妊高症检测、脉率检测等等,商业应用也不断发展,如运动、健身器材中心的心率测试都用到了先进的脉搏测量仪[2]。峴扬斕滾澗辐滠兴渙藺。

xx 大学本科毕业设计(论文)

1.3 本设计所要实现的目标

基于AT89S52单片机脉搏测量仪设计要求如下:

(1)要求通过手指测量脉搏跳动。

(2)准确测量出1分钟内的脉搏跳动次数。

(3)通过数码管显示出1分钟内脉搏跳动的次数。

(4)发光二极管通过发光的形式显示脉搏的跳动。

1.4 本文的设计方案:采用以单片机为核心的控制方案

基于AT89S52单片机的脉搏测量仪由电源电路、复位电路、晶振电路、AT89S52

单片机、脉搏感应电路、脉搏信号处理电路、脉搏次数显示电路以及脉搏显示发

光二极管组成,系统框图如图1-1所示。詩叁撻訥烬忧毀厉鋨骜。

图1-1 基于A T89S52单片机脉搏测量仪系统框图

复位电路 晶振电路 电源电路 AT89S52 单片机 脉搏信号采集电路

脉搏跳动显示

基于单片机的脉搏测量仪设计

2 主要器件介绍

2.1单片机的选择

本次设计单片机选用ATMEL公司的AT89S52单片机。

2.1.1 AT89S52简介

AT89S52是一种低功耗、高性能CMOS8位微控制器,具有8K在系统可编程Flash存储器。使用Atmel公司高密度非易失性存储技术制造,与工业8031、80C51产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程(IPS下载),亦适用于常规编程器[3]。则鯤愜韋瘓賈晖园栋泷。

图2-1 AT89S52单片机实物图

2.1.2AT89S52的特点

AT89S52的主要特点:

(1)8k字节Flash;

(2)256字节RAM;

(3)32 位I/O 口线;

(4)看门狗定时器;

(5)2 个数据指针;

(6)三个16 位定时器/计数器;

(7)一个6向量2级中断结构;

(8)全双工串行口;

xx大学本科毕业设计(论文)

(9)片内晶振及时钟电路。

另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。8 位微控制器8K 字节在系统可编程[4]。胀鏝彈奥秘孫戶孪钇賻。

2.1.3AT89S52引脚功能说明

AT89S52具有以下标准功能:8k字节Flash,256字节RAM,32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。其引脚图如图2-2所示。鳃躋峽祷紉诵帮废掃減。

图2-2 AT89S52引脚图

基于单片机的脉搏测量仪设计

各引脚功能说明:

P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0不具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。稟虛嬪赈维哜妝扩踴粜。

P1(P1.0~P1.7)口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,P1 输出缓冲器能驱动4 个TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(I IL)。陽簍埡鲑罷規呜旧岿錟。

P2(P2.0~P2.7)口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4个TTL逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(I IL)。在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX @DPTR)时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送1。在使用8位地址(如MOVX @RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。沩氣嘮戇苌鑿鑿槠谔應。

P3(P3.0~P3.7)口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口,P3 输出缓冲器能驱动4 个TTL 逻辑电平。对P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(I IL)。P3口亦作为AT89S52特殊功能(第二功能)使用,如下表所示。在flash编程和校验时,P3口也接收一些控制信号。钡嵐縣緱虜荣产涛團蔺。

表2-1 P3口第二功能表

引脚第二功能特性

P3.0 串行输入口RXD

P3.1 串行输出口TXD

P3.2 外中断0

P3.3 外中断1

P3.4 定时/计数器0

P3.5 定时/计数器1

P3.6 外部存储器写选通

P3.7 外部存储器读选通

xx大学本科毕业设计(论文)

RST:复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将是单片机复位。

ALE/PROG:当访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。对FLASH存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。该位置位后,只有一条MOVX 和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。懨俠劑鈍触乐鹇烬觶騮。

PSEN:程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89S52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次PSEN信号。謾饱兗争詣繚鮐癞别瀘。

EA/VPP:外部访问允许,欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接VCC端),CPU则执行内部程序存储器的指令。FLASH存储器编程时,该引脚加上+12V的编程允许电源VPP,当然这必须是该器件是使用12V编程电压VPP。呙铉們欤谦鸪饺竞荡赚。

XTAL1:振荡器反相放大器和内部时钟发生电路的输入端。

XTAL2:振荡器反相放大器的输出端。

中断源:AT89S52 有6个中断源。两个外部中断(INT0 和INT1),三个定时中断(定时器0、1、2)和一个串行中断[5]。莹谐龌蕲賞组靄绉嚴减。

2.2传感器的选择

本次设计选用的传感器由红外发光二极管和光敏三极管组成。采用GaAs红外发光二极管作为光源时,可基本抑制由呼吸运动造成的脉搏波曲线的漂移。红外接收三极管在红外光的照射下能产生电能,它的特性是将光信号转换为电信号。在本设计中,红外接收三极管和红外发射二极管相对摆放以获得最佳的指向特性[6]。麸肃鹏镟轿騍镣缚縟糶。

基于单片机的脉搏测量仪设计

图2-3透射式光电传感器

2.2.1红外发光二极管简介

红外线发光二极管由红外辐射效率高的材料(常用砷化镓GaAs)制成PN结,外加正向偏压向PN结注入电流激发红外光。光谱功率分布为中心波长830~950nm,半峰带宽约40nm左右。其最大优点是可以完全无红暴,或仅有微弱红暴(红暴为有可见红光)而延长使用寿命。通常应用红外发射管波长:850nm、870nm、880nm、840nm、980nm。辐射强度(POWER)与输入电流(I f)成正比,辐射强度与发射距离成反比[6]。納畴鳗吶鄖禎銣腻鰲锬。

图2-4 红外发光二极管

2.2.2光敏三极管简介

光敏三极管又称光电三极管,采用半导体制作工艺制成的具有NPN或PNP结构的半导体管,它是一种光电转换器件。基本原理是光照到PN结上时,吸收光能并转换为电能。当光敏三极管加上反向电压时,管子中的反向电流随着光照强度的改变而改变,光照强度越大,反向电流越大[7]。風撵鲔貓铁频钙蓟纠庙。

xx大学本科毕业设计(论文)

图2-5 光敏三极管

2.3驱动芯片的选择

本设计采用74系列中的74LS245作为数码管驱动器,集成芯片74LS04作为数码管反相器。

2.3.174LS245简介

74LS245是我们常用的芯片,用来驱动LED或者其他的设备,它是8路同相三态双向总线收发器,可双向传输数据。74LS245还具有双向三态功能,既可以输出,也可以输入数据。当8051单片机的P0口总线负载达到或超过P0最大负载能力时,必须接入74LS245等总线驱动器。当片选端/CE低电平有效时,DIR=“0”,信号由 B 向 A 传输;(接收)DIR=“1”,信号由 A 向 B 传输;(发送)当CE 为高电平时,A、B均为高阻态。由于P2口始终输出地址的高8位,接口时74LS245的三态控制端1G和2G接地,P2口与驱动器输入线对应相连。P0口与74LS245输入端相连,E端接地,保证数据线畅通。8051的/RD和/PSEN相与后接DIR,使得RD且PSEN有效时,74LS245输入(P0.1←D1),其它时间处于输出(P0.1→D1)[8]。灭嗳骇諗鋅猎輛觏馊藹。

图2-6 74LS245引脚图

基于单片机的脉搏测量仪设计

2.3.274LS04简介

74LS04是6非门(反相器),它的工作电压是5V,内部含有6个CMOS反相器,74LS04的作用就是反相把1变成0。集成芯片74LS04的引脚图如图2-7所示。铹鸝饷飾镡閌赀诨癱骝。

图2-7 74LS04引脚图

2.4显示器的选择

本设计采用3位共阳八段数码管作为显示器。

2.4.1三位共阳八段数码管简介

三位数码管显示分为共阴和共阳两种显示方式,共阴就是把abcdefg这7个发光二极管的负极连接在一起并接地,它们的7个正极接到7段译码驱动电路74LS245的相对应的驱动段上;本设计采用共阳显示电路,即把abcdefg的7个发光二极管的正极连接在一起并接到5V电源上,其余的7个负极接到74LS245相应的abcdefg输出端上。攙閿频嵘陣澇諗谴隴泸。

无论是共阴还是共阳,都需要加限流电阻,否则会烧坏七段译码管。限流电阻的选取是:5V电源电压减去发光二极管的工作电压除以10mA到15mA所得的数即为限流电阻的值。发光二极管的工作电压一般在1.8V~2.2V,为计算方便,通常选2V,发光二极管的工作电流选取在10~20mA[9]。趕輾雏纨颗锊讨跃满賺。

xx 大学本科毕业设计(论文)

图2-8 三位共阳八段数码管结构图

2.4.2 八段数码管字形表

字形表是通过对字形的编码,由八段数码管实现字符的显示。表2-2列出了字符“0”至字符“9”的对应字型码[10]。夹覡闾辁駁档驀迁锬減。

表2-2 共阳极字形表视絀镘鸸

鲚鐘脑钧欖粝。

字符 DP G F E D C B A 字型码

2 1 0 1 0 0 1 0 0 0xA4

4 1 0 0 1 1 0 0 1 0x99

9 1 0 0 1 0 0 0 0 0x90

5 1 0 0 1 0 0 1 0 0x92

1 1 1 1 1 1 0 0 1 0xF9

0 1 1 0 0 0 0 0 0 0xC0 7 1 1 1 1 1 0 0 0 0xF8

6 1 0 0 0 0 0 1 0 0x82

8 1 0 0 0 0 0 0 0 0x80

3 1 0 1 1 0 0 0 0 0xB0

基于单片机的电子脉搏计的设计

基于单片机的电子脉搏计的设计

毕业设计论文 基于单片机的电子脉搏计的设计

人们在日常生活或是医学上常常是通过测量脉搏跳动的力度和频率来检测身体的健康状况,而普通的方法是用手按在人腕部的动脉上,根据脉搏的跳动进行计数,这样不仅测量时间长而且精度不高,为了节省测量时间,一般不采用长时间测量,而是几秒钟之内测出脉搏数。本文介绍一种基于STC89C52单片机的电子脉搏计,通过测量腕部动脉的压力,把压力转变为电信号,送入单片机,可以在3秒钟之内精确测量出每分钟脉搏数,测量结果用三位LED数码管显示,并且脉搏波形通过串口送入PC机,实时显示脉搏波形。 脉搏计是最常用的医疗检查设备之一,实时准确的脉搏测量在日常生活、患者监控、临床治疗及体育运动等方面都有着广泛的应用。脉搏测量包括瞬时脉搏测量和平均脉搏测量。瞬时脉搏可以反映心率的快慢,同时能反映心率是否匀齐;平均脉搏测量虽然只能反映心率的快慢,但记录方便。本文设计的电子脉搏计可以把这两个参数在测量时都记录下来并且显示,瞬时测量结果通过PC机实时显示,平均脉搏测量结果通过LED七段数码管显示。 关键词:STC89C52 单片机脉搏串口 Based on SCM electronic pulse plan design

People in daily life or medicine is often measured by the pulse frequency and strength to detect the health status of body by hand, and ordinary people by the arteries in the wrist, according to a pulse count, thus not only beat measuring time long and accuracy is not high, in order to save the measuring time, generally does not use the long time measurement, but a few seconds pulse count. Measured This paper introduces a STC89C52 MCU based on the electronic pulse plan, by measuring the pressure, the wrist artery pressure into electrical signals, into a single-chip microcomputer, can accurate measurement in three seconds per minute, a pulse with measurement results number three LED digital display, and pulse tube through a serial port into PCS, real-time display pulse waveform. Pulse meter is one of the most commonly used in the medical examination device, real-time accurate measurement of the pulse of daily life, patient monitoring, clinical treatment and other aspects of sports have a wide range of applications. Pulse measurements include transient pulse measurement and the average pulse measurement. Instantaneous heart rate may reflect the speed of the pulse, while heart rate can reflect whether the uniform homogeneous; average heart rate, pulse measurement can only reflect the speed though, but the record of convenience. This design of elec- tronic pulse meter to measure these two parameters are recorded and displayed the time, instantaneous measurements in real time through the PC, shows that the average pulse measurements by seven segment LED digital display. Keywords: STC89C52; microcontroller; pulse; the serial interface

基于单片机的脉搏心率测量仪的开发与设计毕业论文

毕业设计(论文) 题目:基于单片机的脉搏测量仪的研究与设计

摘要 在传统的医疗检测中,脉象检测一直都起着非常重要的作用,人体的脉象包含着大量的人体的生理和病理方面的信息。脉诊一直是医生诊断疾病的重要手段之一,但受人为因素的影响很大。经医学观察研究表明,人体手指末端含有丰富的毛细血管和小动脉,这些动脉和人体其他地方的动脉一样,含有丰富的生理信息。由于光电脉搏检测技术具有很高的绝缘性,且抗电磁等干扰能力强,可以对人体进行无损伤检测。本文设计通过光电法对人体指尖的脉搏进行测量,并将测量信息送入单片机进行处理,最后通过数码管将测量结果显示出来。将对脉搏信号的检测模块,脉搏信息的处理模块,单片机,数码管显示模块等电路集成在一块电路板上,形成一个简易的脉搏测量仪。这种测量仪具有精确度高,体积小,价格便宜,易于操作等特点,特别适合于个人使用和家庭使用,给我们的生活带来极大方便,让我们第一时间对自己的身体状况有进一步的了解。 关键词:脉搏;光电传感器;单片机;数码管

Abstract In the traditional medical testing,the pulse condition detection has been playing a very important role.The pulse condition of the human body contains a large number of physiology information and pathology information,the pulse examination has been being one of the important means for the doctor to diagnosis the illness.But the man-made factors influence it very much,the medical observation research shows.The end of the finger contains rich capillaries and small arteries.These arteries and the other arteries of the body hold rich physiologic information.The Photoelectric pulse detecting technology can test the body without damage owing to its high insulation and strong ability to resist the electromagnetic interference.This design in the text can survey the pulse of the finger tip through photoelectric method and transport the information to the microcontroller to do with it.At last,the result is showed by the digital tube.When the electric circuit such as the detection module of the pulse signal,the processing module of the pulse information,SCM,digital tube are integrated in the board of electric circuit,it formed an simple pulse measuring instrument,this instrument has high accuracy,small, cheap,and easy to operate.It is especially suitable for personal use and family use.It brings great convenience to our life,so we can have a further understanding of our body condition. Key words: Pulse;Photoelectric transducer;SCM;Digital tube

数字人体心率检测仪的设计

数字人体心率检测仪的设计 1.设计思路 本课题研究的是数字人体心率监测仪的设计,我所设计的检测仪,它使用方便,只需将手指端轻轻放在传感器上,即可实时显示出你的每分钟脉搏次数,特别适合体育训练和外出旅游等场合使用。采用红外光学检测法,摒弃了不便于运动状态下测量脉搏的听诊器和吸附在人体上的电极等老式测量方法。检测的基本原理是:随着心脏的搏动,人体组织半透明度随之改变:当血液送到人体组织时,组织的半透明度减小:当血液流回心脏,组织则半透明度增大。这种现象在人体组织较薄的手指尖,耳垂等部位最为明显。因此,本心率检测仪将红外发光二极管产生的红外线照射到人体的上述部位,并用装在该部位的另一侧或旁边的红外光电管来检测机体组织的透明程度并把它转换成电信号。由于此信号的频率与人体每分钟的脉搏次数成正比,故只要把它转换成脉冲并进行整形,计数和显示,即可实时的测出脉搏的次数。 心率与脉搏的联系:心率与脉搏在身体正常的时候是相等的。在房颤等心脏疾病时候可出现不等。因此心率测量问题可以转化为脉搏的测量,而脉搏的测量有更容易实现的特点,在实际应用中得到更广泛的运用。 本检测仪的有效测量范围为50次—199次/分钟。 2 方案设计 2.1 心率采集处理电路 心率采集处理电路如图1-1所示。该部分电路主要由脉搏次数红外检测采集电路模块、信号抗干扰电路模块、信号整形电路模块等三个主要的电路模块组成。其中,红外线发射管D1和红外线接收管Q1组成了红外检测采集电路:R2与C1、C2与C3、R4与C4和ICA共同工程了信号抗干扰电路组,他们分别承担了对信号的低通滤波、干扰光

线的光电隔离、参与高频干扰的滤除等任务。另外,I CB、C5与R10、ICC则共同组成了信号整形电路模块。 图1 光电式脉搏波传感器的原理 其原理是利用光电信号来测量脉搏容量的变化。当血管内容量变化时,组织对光的吸收程度相对发生变化,利用光电传感器可测出这种变化,该变化反映出血液动脉的基本参数情况。根据朗伯特—比尔(lambert—beer)定律,物质在一定波长处的吸光度和他的浓度成正比,当恒定波长的光照射到人体组织上时,通过人体组织吸收、反射衰减后测量到的光强在一定程度上反映了被照射部位组织的结构特征。 光源和光敏元件分别处于被测部位的两侧,光源发出的光线可以经指尖部组织投射到光敏元件所在的窗口,从而有光敏元件检测出脉搏的波动信号,这样纪录的波也有将其作为指尖容积波处理,通常称这种传感器为透射型光电式脉搏波传感器。 本次设计原用的透射型光电式脉搏波传感器,其电路如图2 所示。

便携式脉搏测试仪毕业设计(改)

重申明 本人呈交的毕业实习报告(设计),是在导师的指导下,独立进行实习和研究工作所取得的成果,所有数据、图片资料真实可靠。尽我所知,除文中已经注明引用的容外,本毕业实习报告(设计)的成果不包含他人享有著作权的容。对本毕业实习报告(设计)所涉及的实习和研究工作做出贡献的其他个人和集体,均已在文中以明确的方式标明。本毕业实习报告(设计)的知识产权归属于作者与培养单位。 学生签名 日期2012.12

摘要 本作品根据题目要求指示,以精准脉搏测量电路为核心,以TI公司提供的LaunchPad MSP430(G2553)单片机开发板为核心控制。应用单片机部集成的10位8通道多路ADC做模数转换,与外部电路构成测试系统。本作品根据题目要求使用+3.6V电源供电,测试仪在测量状态时,能在光电探头达到合适测试部位时自动启动测量,1分钟完成测量后自动待机,直至撤离探头并再次达到测试部位时自动启动下一次测量。同时具有脉搏上下门限警报、监护状态和回放状态,并可在128×64点阵屏幕上动态显示光电脉搏信号波形等功能。 本题目来自“2012年全国大学生电子设计大赛TI杯赛区” 关键词:自动测量;上下限报警;回放;监测;光电探头

目录 1 选题意义 (1) 2 系统方案 2.1方案比较 (2) 2.2系统描述 (2) 2.2.1芯片基本工作原理 (3) 2.2.2整体描述 (3) 3 脉搏测量原理 (4) 4 电路分析 4.1 CPU控制电路 (5) 4.2信号采集和信号处理电路 (6) 4.3键盘电路 (7) 4.4显示电路 (8) 4.5报警电路 (8) 5 程序分析 5.1 程序总体流程图 (9) 5.2 核心程序流程图 (10) 5.3 开发环境介绍 (10) 5.4脉搏计数算法 (11) 5.5 程序节选 (12) 6 系统测试 6.1测试结果及分析 (14) 6.2作品展示 (15) 结论 (16) 参考文献 (17)

数电课设报告电子脉搏计设计说明

数字电子技术课程设计报告题目:电子脉搏计设计 班级: 姓名: 指导老师: 组号: 2

目录 第一章设计设计任务及要求 (1) 第二章方案设计与论证 (1) 2.1方案一 (1) 2.2方案二 (2) 2.3总结方案 (2) 2.4设计原理及方框图 (3) 第三章各单元电路电路设计与分析 (3) 3.1四倍频电路 (3) 3.2脉搏计数电路 (4) 3.3计时控制电路 (5) 3.4时钟信号产生电路 (6) 3.5译码显示电路 (7) 第四章总体电路原理图及元件清单 (7) 第五章电路仿真及仿真结果分析 (9) 第六章作品照片图 (10) 第七章心得体会 (11)

第八章参考文献 (12) 第九章附页 (13)

电子脉搏计 一、设计设计任务及要求 用中小规模集成块模拟世贤电子脉搏计逻辑控制电路的具体要求如下: (1)实现在15s内测量1min的脉搏数。 (2)用数码管将测得的脉搏数用数字的形式显示。 (3)正常人的脉搏数为60~80次/min,婴儿的为90~100次/min,老人为100~150次/min,可通过与上述正常脉搏数比较,给出测脉搏人的脉搏数高出或低出正常范围的数值。 二、方案设计与论证 方案一 1.传感器将脉搏跳动信号转换为与此相对应的电脉冲信号。 2.放大整形电路把传感器的微弱电流放大,微弱电压放大。 3.四倍频器将整形后所得到的脉冲信号的频率提高。如将15s内传感器所获得的信号频率4倍频,即可得到对应一分钟的脉冲数,从而缩短测量时间。 4.控制电路用555定时器以保证在基准时间控制下,使4倍频后的脉冲信号送到计数、显示电路中。 5.计数、译码、显示电路用来读出脉搏数,并以十进制数的形式由数码管显示出。 6.电源电路按电路要求提供符合要求的直流电源。上述测量过程中,由于对脉冲进行了4倍频,计数时间也相应地缩短了4倍(15s),而数码管显示的数字却是lmin的脉搏跳动次数。用这种方案测量的误差为±4次/min,测量时间越短,误差也就越大。 方案二 与方案一相比,信号发生与采集、定时电路、计数译码显示电路不变。其他有所改变。 2)放大电路用普通运放进行发大,为达到高输入阻抗的要求,采用同相比例放大。 3)低通滤波在运放的反馈电阻上并联一个电容,达到滤波的效果。 4)整形电路通过运放组成的单限比较器进行脉冲整形。 方案二的放大电路除了在阻抗匹配方面略显弱势之外,使用更为普遍。为了探索非门再放大方面的应用,选择了方案一。

(最新版)基于单片机的脉搏测量仪的设计开题报告毕业论文

本科毕业设计 ( 论文) 开题报告 题目: 基于单片机的脉搏测量仪 的设计 课 题 类 型:设计丁实验研究□论文口 学 生 姓 名: 学 号: 专 业 班 级: 学 院: 信息工程学院 指 导 教 师: 开 题 时 间 年月日 开题报告内容与要求 一、毕业设计(论文)内容及研究意义(价值) 随着科技发展的不断提高, 生命科学和信息科学的结合越来越紧密, 出现了各种新 颖 的脉搏测量仪器,特别是电子脉搏仪的出现,使脉搏测量变得非常方便。 脉诊在我 国已具有

2600 多年临床实践,是我国传统中医的精髓,但祖国传统医学采用“望、闻、问、切”的手段进行病情诊断,受人为的影响因素较大,测量精度不高。科技的创新,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确的测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。 脉搏测量仪的设计,必须是通过采集人体脉搏变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏变化,最后要得出每分钟的脉搏次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏次数。在硬件设计中一般的物理信号就是电压变化。本系统的组成包括传感器、信号处理、单片机电路、显示电路、键盘输入等部分。 二、毕业设计(论文)研究现状和发展趋势(文献综述) 随着科学技术的发展,脉搏测量技术也越来越先进,对脉搏的测量精度也越来越高,国内外先后研制了不同类型的脉搏测量仪,脉搏测试不再局限于传统的人工测试法或听诊器测试法,脉搏测量可利用电子仪器测量出精度更就的数据。人体脉搏信号中包含丰富的生理信息,也逐渐引起了临床医生的很大兴趣,达到了方便、快捷、准确在测量脉搏的目的。随着电子测量技术的迅速发展,现代电子测量仪器以极快的速度向数字化、自动化的方向发展。制成的脉搏测量仪器性能良好,结构简单,有较好的应用和推广价值。而其中关键是对脉搏传感器的研究。起初用于体育测量的脉搏测试集中在对接触式传感器的研究,利用此类传感器所研制的指脉、耳脉等测量仪各有其优缺点。指脉测量比较方便、简单,但因为手指上的汗腺较多,指夹常年使用,污染可能会使测量灵敏度下降:耳脉测量比较干净,传感器使用环境污染少,容易维护。但因耳脉较弱,尤其是当季节变化时,所测信号受环境温度影响明显,造成测量结果不准确。过去在医院临床监护和日常中老年保健中出现的日常监护仪器,如便携式电子血压计,可以完成脉搏的测量,但是这种便携式电子血压计利用微型气泵加压橡胶气囊,每次测量都需要一个加压和减压的过程,存在体积庞大、加减压过程会有不适、脉搏检测的精确度低等缺点。 脉搏测量仪的发展主要向以下几个趋势发展: (1)自动测量脉搏并且对所得到的脉搏进行自动分析。目前很多脉搏测量仪都具有检测

心率测试仪

1.消抖电路: 2.分频器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fpq is port (clk: in std_logic; f50k:buffer std_logic:='0'; f1k:buffer std_logic; f5k:buffer std_logic; f2k:buffer std_logic; f100hz:buffer std_logic; f2hz:buffer std_logic; f1s:buffer std_logic; f6s:buffer std_logic:='1'); end fpq; architecture one of fpq is signal count_6s,count_100: std_logic_vector(3 downto 0); signal count_1m,count_1s,count_05s,count_1k,cou nt_2k, count_5k: std_logic_vector(8 downto 0); signal count_hec:std_logic_vector(9 downto 0); signal fpq_hec:std_logic_vector(9 downto 0); Begin --50khz process(clk) begin if(clk'event and clk='1')then if(count_1m="011111001")then--500分频(系统时钟25MHz) count_1m<="000000000"; f50k<=not f50k; else count_1m<=count_1m+1; end if; end if; end process; --5Khz process(f50k) begin if(f50k'event and f50k='1')then if(count_5k="00000100")then--10分频count_5k<="000000000"; f5k<=not f5k; else count_5k<=count_5k+1; end if;

脉搏计课程设计报告

报告成绩 电子电路综合实验报告 学生:贺杰 学号:1410404006 专业年级:2014级通信工程4班 指导教师:周妮讲师 起止日期:2016年3月—2016年6月 电气与信息工程学院 2016年6月3日

目录 1目的与意义 (1) 3 方案设计 (1) 4 系统硬件设计 (3) 5仿真调试与分析 (10) 6结论与体会 (10) 参考文献 (10) 附录 (11) 附录A 系统实物图 (11)

摘要:电于脉搏计可以连续台动地测量手术或重危病人的脉搏,也可以用于健康管理,运动员的训练等方面,为提高运用电子技术基本知识进行理论设计、实践创新以及独立工作、团队合作的能力,通过实践制作一个数字频率计,学会合理的利用集成电子器件制作基于数字电路和模拟电路的课程设计与制作。电子脉搏计是用来测量一个人心脏跳动次数的电子仪器,也是心电图的主要组成部分,它是用来测量频率较低的小信号。 1目的与意义 一、目的: 1、掌握组合逻辑电路的工作原理及设计方法。 2、学会安装和调试分立元件与集成电路组成的电子电路小系统。 二、意义 对于医院的危重病人,或者在其他一些特殊场合,需对人的脉搏进行连续检测,本课题即针对这一需求,设计一台简易的电子脉搏计。 1、制作要求 实现在15S测量1min的脉搏数,并且显示其数字。正常人脉搏数为60~80次/min 婴儿为90~100次/min,老人为100~150次/min。(只考虑数字部分,即输入波形视为矩形波) 2、制作步骤 (1)拟定测试方案和设计步骤,填写真值表; (2)根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (3)进行相应的仿真测试; (4)设计、调试和安装电路并测试; (5)撰写设计报告。 2 方案设计 电子脉搏计是由脉搏计数器和控制时间的定时电路所组成,并且还要在15S测量出1min的脉搏数。所以,我们先按要求,分开设计各个功能的电路图,然后再组合连接成一个完整的按要求的电子脉搏计。 方案一:

基于51单片机的心率计设计选题的目的和意义

选题的目的和意义: 在中医四诊(望、闻、问、切)中,脉诊具有非常重要的位置。它是我国传统医学中最具特色的一项诊断方法,历史悠久,内容丰富,是中医“整体观念”、“辨证论证”基本精神的体现与应用。医院的护士每天都要给住院的病人把脉记录病人每分钟心跳数,方法是用听诊器放在胸口处,根据心脏的跳动进行计数。为了节省时间,一般不会作1分钟的测量,通常是测量10秒内的心跳数,再把结果乘以6得到每分钟的心跳数,这样做还是比较费时,而且精度也不高。为了更方便以及更精确地反应出心率地正常与否,人类发明出了脉搏计。大大的翻遍了人类对于心脏类疾病的预防和治疗。脉搏波所呈现出的形态(波形)、强度(波幅)、速率(波速)和节律(周期)等方面的综合信息,在很大程度上反映出人体心血管系统中许多生理病理的血流特征,因此对脉搏波采集和处理具有很高的医学价值和应用前景。 国内外对本课题涉及问题的研究现状: 脉搏测量仪日常生活中已经得到了非常广泛的应用。早在1860年Vierordt 创建了第一台杠杆式脉搏描记仪,五十年代末,有人研制出以酒石酸钾钠压电晶片为换能器的脉搏描在我们的记器,将中医寸、关、尺的脉搏,通过换能器转换为电能加以放大描记,初步确定了中医弦脉、滑脉、平脉等的特征图形,1959年,进行高血压弦脉及其机制的研究。 六十年代初研制的“20型三线脉象仪”,首次实现了寸、关、尺三部切脉国内20世纪50年代初朱颜将脉搏仪引用到中医脉诊的客观化研究方面。此后随着机械及电子技术的发展,国内外在研制中医脉象仪方面进展很快,尤其是70年代中期,国内天津、上海、江西等地相继成立了跨学科的脉象研究协作组,多学科共同合作促使中医脉象研究工作进入了一个新的境界。脉象探头式样很多,有单部、三部、单点、多点、刚性接触式、软性接触式、气压式、硅杯式、液态汞、液态水等多种形式。目前脉搏测量仪在多个领域被广泛应用,除了应用于医学领域,如无创心血管功能检测、妊高症检测、中医脉象、脉率检测等等,商业应用也不断拓展,如运动、健身器材中的心率测试都用到了技术先进的脉搏测量仪。压力的任意调节和客观定量测定,以及与指感基本一致的压力脉象波型的描记。该仪器在临床试用取得大量的实验数据。之后,全国各地陆续研制出各种不同换能器(如半导体硅应变片换能器,电感式压力换能器,电阻抗式换能器)的脉象仪,不断提高换能器的灵敏度,精确度,并改进探头的造型。近年来有些单位还将声像图仪、频谱分析应用于中医脉象研究。七十年代初,中国医学科学院分院利用电子学的新进展,研制出性能较好的脉搏图机,所描记的脉搏图能反映出十余种脉象。为用脉搏图形识别这些脉搏打下了初步基础。七十年代末北京中医学院采用测量脉搏图参数,进行系统分析,来描述弦、滑、细、平等脉象的脉搏图特征,从定性推进到定量。八十年代初魏韧提出多因素脉图识别法,将切脉时医师的应指感觉分解为八种成分,其不同组合构成各种脉象。还研制出MTY-A型脉图仪,在传统的波形图外尚可描记各种取脉压力下的脉搏幅度趋势图及脉管粗细图,认为可综合上述八种指感成分,因而能反映出所有各种脉象。几乎世界上所有的民族都用过"摸脉"作为诊断疾病的手段。脉搏波所呈现出的形态(波形)、强度(波

心率测试仪的设计

江西工业贸易职业技术学院毕业设计 摘要 随着生物医学工程技术的发展, 医学信号测量仪器日新月异。生物医学测量与临床医学和保健医疗的联系日益紧密。通过对人体各种生理信号的检测,能更好的认识人体的生命现象。脉象包含丰富的人体健康状况信息, 脉诊技术应客观化、定量化。本设计利用光电式传感器, 设计脉搏信号获取的方法。本设计主要是基于单片机的便携式脉搏测试仪的具体实现方法,利用光电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。本设计所设计的基于单片机的便携式心率测试仪对推进脉诊技术客观化的实现具有积极的促进作用。 脉搏;单片机;光电传感器;脉冲信号;便携式关键词: I 江西工业贸易职业技术学院毕业设计 目录 摘要I........................................................................................................................................ .第1章引言....................................................................................................................... 11.1概述. (1)

1.2基于单片机的心率测试仪的发展与应用 (2) 1.3本设计的主要内容 (3) 第2章整体方案分析.................................................................................................... 4. 2.1任务 (4) 2.2要求 (4) 2.3系统的整体方案 (4) 2.4 方案的对比和论证 (4) 2.4.1脉搏检测传感器的选择 (4) 2.4.2单片机的选择 (6) 2.4.3显示部分的选择 (6) 2.5设计时要考虑的问题 (7) 2.5.1环境光对脉搏传感器测量的影响 (7) 2.5.2电磁干扰对脉搏传感器的影响 (7) 2.5.3测量过程中运动噪声的影响 (8) 2.6本章小结 (8) 第3章硬件电路设计分析........................................................................................... 93.1控制 器 (9) 3.1.1AT89S52 (9) 3.1.2AT89S52的特点 (9) 3.1.3AT89S52的结构 (9) 3.2脉搏信号采集....................................................................................................... 12 3.2.1光电传感器的结构及原理 (12) 3.2.2信号采集电路 (13) 3.3信号放大电路....................................................................................................... 13

心率计 毕业设计论文

摘要 在社会飞速发展的今天,人们的物质文化生活得到了极大的提高,但同时多种疾病威胁着人们的生命;而心脏病的发作又是人们难以预防的突发致命疾病,所以健康也被越来越多的人所重视。本设计要解决的问题就是可以测量心率、预防心脏病等心脏方面疾病的数字心率计。 本设计采用以AT89S52单片机为核心的低成本、高精度、微型化数字显示心率计的硬件电路和软件设计方法。整个电路采用模块化设计,由主程序、预置子程序、信号采集子程序、信号放大处理子程序、显示子程序等模块组成。各探头的信号经单片机综合分析处理,实现心率测量的各种功能。在此基础上设计了系统的总体方案,最后通过硬件和软件实现了各个功能模块。相关部分附有硬件电路图、程序流程图。该心率计的原理是用红外光电传感器OPT101接收到人体信号,因人体信号很微弱,所以在电路中设置了双重放大电路(主要芯片:OP07、LM324N)。该信号经放大整形处理后传给A/D转换器实现模拟信号转为数字信号,经过以上处理后,再传给单片机AT89S52计算,计算完后由四位数码管显示出来。 该心率计可以简单的测量出人的心跳和人体体温,基本实现了预定的目标,这将大大减少病人测量心跳和体温的时间。 关键字:心率;测量;单片机AT89S52;转换器

Abstract Today in the rapid development of society, people's material and cultural life has been greatly improved, but also a variety of diseases threatening people's lives; and heart attack is it difficult to prevent sudden fatal disease, so health is also valued by more and more people. The design problem to be solved is that you can measure heart rate, cardiac disease, heart disease and other digital heart rate meter. This design uses to A T89S52 microcontroller core, low-cost, high accuracy, digital display of heart rate meter miniaturization of hardware and software design. The probe by the single chip integrated analysis of signal processing functions to achieve heart rate measurement. On this basis, the overall design of the system program, and finally achieved through various hardware and software modules. With the relevant parts of the hardware circuit, the program flow chart. The principle of the heart rate meter is used to receive infrared photoelectric sensor OPT101 to human signals, the signal is very weak because of the human body, so the circuit is set in the dual amplifier (main chip: OP07, LM324N). The signal passed through enlarged plastic treated A / D converter for analog signals into digital signals, with the above treatment, and then passed to microcontroller AT89S52 calculated, finished up by four digital displayds heart rate, The heart rate meter can easily measure the person's heart rate and body temperature, essentially achieving its stated goals, which will greatly reduce the patients of heart rate and body temperature of the time. Keywords: heart rate;measurement;microcontroller AT89S52;converter

电子脉搏计课程设计

电子脉搏计 课 程 设 计 报 告 组长:蔡新源 组员:史志华、张重彬、李海磊、杨威力、刘世洋、孙景伟、冀鹏辉、杨冠军、李峰 朝

目录 摘要 (3) 第一章绪论 (4) 第二章各种元器件及其应用 (5) 1、集成同步计数器及其应用张重彬 (5) 2、BCD-七段共阴数码管史志华 (7) 3、74LS161 计数器的应用杨威力 (10) 4、五进制的自循环冀鹏辉 (12) 5、集成同步计数器及其应用刘世洋 (13) 6、用 74LS161构成一个十进制计数器李海磊 (14) 7、四进制的自循环杨冠军 (15) 8、用 74LS290设置七进制计数器李峰朝 (17) 9、七进制的自循环孙景伟 .. 19 第三章数字脉搏计时器的方案比较 (21) 3.1方案论证 (21) 3.2提出方案 (21) 3.3方案比较 (23) 第四章单元电路的设计 (23) 4.1电路总体框图 (23) 4.2采集、放大与整形电路 (23) 4.2.1传感器 (24) 4.2.2放大电路 (24) 4.2.3整形电路 (25) 4.3倍频电路 (26) 4.4基准时间产生电路 (28) 4.5 计数、译码、显示电路 (28) 4.6 控制电路 (31)

总结 (32) 摘要 人体脉象中富含有关心脏、内外循环和神经等系统的动态信息。而脉搏的病理生理性改变常引发各种心血管事件,脉搏生理性能的改变可以先于疾病临床症状出现,通过对脉搏的检测可以对如高血压和糖尿病等引起的血管病变进行评估。同时脉搏测量还为血压测量,血流测量及其他某些生理检测技术提供了一种生理参考信号。 本文主要介绍了数字式脉搏计的具体实现方法,利用压电传感器产生脉冲信号,经过放大整形后,输入单片机内进行相应的控制,从而测量出一分钟内的脉搏跳动次数,快捷方便。通过观测脉搏信号,可以对人体的健康进行检查,通常被用于保健中心和医院。 关键词脉搏计;脉冲信号;压电传感器

心率计毕业设计论文

心率计毕业设计论文 目录 摘要.......................................................... I Abstract..................................................... I I 1绪论 (1) 1.1课题的来源 (1) 1.2课题设计的目的及功能实现的方法 (1) 1.3论文结构 (2) 2总体方案设计 (4) 2.1心率计原理 (4) 2.2总体电路框图设计 (4) 3元器件选择及其功能介绍 (6) 3.1单片机AT89S52 (6) 3.2传感器OPT101 (7) 3.2.1OPT101的技术性能 (7) 3.2.2OPT101的典型应用 (8) 3.3集成运算放大器OP07 (10) 3.4低功率运算放大器LM324N (11) 3.5A/D转换器ADC0809 (12) 4系统硬件结构设计与仿真 (14) 4.1单片机最小系统 (14) 4.2信号采集电路 (15) 4.3信号放大电路与仿真 (16) 4.3.1信号放大电路与仿真 (16) 4.3.2电源模块设计 (17) 4.4信号比较电路 (18)

4.5A/D转换电路 (19) 4.6显示电路 (19) 4.7系统总体设计原理图 (20) 5系统软件设计 (22) 5.1测量计算原理 (22) 5.2主程序流程图 (22) 5.3中断程序流程图 (23) 5.4定时器T0和T1的中断服务程序 (24) 6系统硬件调试 (25) 6.1系统各部分电路模块测试与仿真 (25) 6.1.1一级放大电路 (25) 6.1.2比较电路 (27) 6.2试验与焊接阶段 (28) 6.2.1试验阶段 (28) 6.2.2焊接与完成阶段 (29) 6.3整机调试 (31) 6.3.1心跳的测量过程 (31) 6.3.2几种主要系统干扰与影响 (31) 6.4试验结果分析 (32) 7总结和展望 (33) 致谢 (35) 参考文献 (36) 附录一: (38)

基于STM32的脉搏测量仪设计

安徽机电职业技术学院毕业论文基于STM32的脉搏测量仪设计 系别电气工程系 专业xxxxxxxxxxxxx 班级xxxxxxxxxxx 姓名xxxxxxxxx 学号xxxxxxxxxxx 指导教师xxxxxx 2014 ~ 2015 学年第一学期

安徽机电职业技术学院2015届毕业生 毕业论文成绩评定单 姓名xxx 专业xx 班级xxxx 课题基于STM32的脉搏测量仪设计 评分标准分值得分 指导教师评语(40分)设计方案合理、实用、经济、原理分析正确、严密,内容完整。 10 计算方法正确,计算结果准确,程序设计正确简洁,工艺合理。 5 元器件(材料)选择合理,明细表规范。 5 图面清晰完整,布局、线条粗细合理,符合国家标准。 5 文字叙述简明扼要,书写规范。 5 按时独立完成,同学相互关心,遵守制度,认真负责。 10 合计得分:指导教师签名:日期:年月日 评阅教师评分(30分)内容充实,有阶段性成果,有应用价值。 10 图纸、论文如实反映设计成果,有理论分析,又有实践过程。 10 语句通顺,思路清晰,符合逻辑。 5 图标清晰,文字工整,字符和曲线标准化。 5 合计得分:评阅教师签名:日期:年月日 答辩评分(30分)自述条理明确,重点突出。 5 基本概念清楚,回答问题正确。 15 专业知识运用灵活,解决问题技术措施合理。 10 合计得分:答辩组长签名:日期:年月日 总得分:等级系主任签名:日期:年月日

指导教师评语 等级签名日期

题目基于STM32的脉搏测量仪设计 学生姓名x 学号x 指导教师xx 系部电气工程 系 班级x 顺序号第 1次 学生完成毕业论文(设计)内容情况第一周: 指导老师布置毕业设计课题,要求学生查阅有关毕业设计的相关资料; 学生签名: 时间:年月日 教师指导 内容记录 教师签名: 时间:年月日

基于51单片机的脉搏心率测量仪-参考论文

基于51单片机的脉搏测量仪 摘要:脉搏心率测量仪在我们的日常生活中已经得到了非常广泛的应用。为了提高脉搏心率测量仪的简便性和精确度,本课题设计了一种基于51单片机的脉搏心率测量仪。系统以STC89C51单片机为核心,以红外反射式传感器ST188为检测原件,并利用单片机系统部定时器来计算时间,由红外反射式传感器 ST188感应产生脉冲,单片机通过对脉冲累加得到脉搏心率跳动次数,时间由定时器定时而得。系统运行中能显示脉搏心率次数和时间,系统停止运行时,能够显示总的脉搏心率次数和时间。经测试,系统工作正常,达到设计要求。 关键词:脉搏心率测量仪;STC89C51单片机;红外反射式传感器 一脉搏心率测量仪系统结构 脉搏心率测量仪的设计,必须是通过采集人体脉搏心率变化引起的一些生物信号,然后把生物信号转化为物理信号,使得这些变化的物理信号能够表达人体的脉搏心率变化,最后要得出每分钟的脉搏心率次数,就需要通过相应的硬件电路及芯片来处理物理变化并存储脉搏心率次数。在硬件设计中一般的物理信号就是电压变化。 1.1 光电脉搏心率测量仪的结构 光电脉搏心率测量仪是利用光电传感器作为变换原件,把采集到的用于检测脉搏心率跳动的红外光转换成电信号,用电子仪表进行测量和显示的装置。本系统的组成包括光电传感器、信号处理、单片机电路、数码管显示电路、电源等部

分。 1.光电传感器 即将非电量(红外光)转换成电量的转换元件,它由红外发射二极管和红外接收三极管组成,它可以将接收到的红外光按一定的函数关系(通常是线性关系)转换成便于测量的物理量(如电压、电流或频率等)输出。 2.信号处理 即处理光电传感器采集到的低频信号的模拟电路(包括放大、滤波、整形等)。 3. 单片机电路 即利用单片机自身的定时中断计数功能对输入的脉冲电平进行运算得出心率(包括STC89C51、外部晶振、外部中断等)。 4.数码管显示电路 即把单片机计算得出的结果用四位一体数码管显示出来。 5. 电源 即向光电传感器、信号处理、单片机提供的电源,采用直流5V电源供电。 1.2工作原理 本设计采用单片机STC89C51为控制核心,实现脉搏心率测量仪的基本测量功能。脉搏心率测量仪硬件框图如下图2.1 所示:

相关主题
文本预览
相关文档 最新文档