当前位置:文档之家› 三路智力抢答器plc控制

三路智力抢答器plc控制

三路智力抢答器plc控制
三路智力抢答器plc控制

1前言

目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。

传统的普通抢答器主要存在一下缺点:

⑴在一次抢答过程中,当出现超前违规抢答时,只能处理违

规抢答信号,而对没有违规的有效信号不能进行处理,因

而使该次抢答过程变为无效。

⑵当有多个违规抢答时,优先编码电路只能选择其中一个,

或利用抢答电路电子元件的“竞争”选择其中一个。对于

后者由于抢答电路制作完毕后电子元件被固定,各路抢答

信号的“竞争”能力也被固定,因而本质上也有优先权。

普通抢答器存在不公平性。

⑶当有多个违规抢答时,普通抢答器只能“抓住”其中一个

违规者。因而出现了“漏洞”。

可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。

PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC型号,然后进行资源配置,设计控制系统。

2设计任务和要求

2. 1任务描述:

该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。

三路智力抢答器有三个抢答按钮SB1~SB3,在允许抢答的情况下最先按下按钮有效,在此以后按下的按钮无效,伴有灯光、声音指示,并开始计时(答题时间),计时时间到(答题给定的时间),声音提示停止答题。如果抢答者答题正确或错误,主持人或操作员按下加分键或减分键,将对显示分数值加分或减分。该控制器组成框图如图2-1-1所示。

图2-1-1

2. 2 设计任务和要求

1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。

2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。

3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。

4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。

5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。

6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。

答题过程中灯光、音响的安排机注意事项如下:

抢答有效:抢答者灯亮、控制台绿灯亮机声响。

10s无人应答:声响、控制台绿灯(HL4)点亮2s。

违规:违规者灯亮,控制台红灯(hl5)亮机声响。

答题过程:抢答者灯亮,控制台绿灯亮,50s后控制台绿灯闪烁。

答题时间到:抢答者灯亮,控制台绿灯亮机声响。

按加分按钮加10分,按减分按钮减10分,按违规按钮减5分,最高分90分(违规情况下最高分为95分),最低分0分。声响时间为1s。

3硬软件设计方案选择

根据PLC智能抢答器的控制要求,应用程序采用一体化结构。通过PLC控制程序来实现整体的运行,系统仅需要少量的按钮和接口,一般的PLC配置都可运行。该系统本着简单易懂、可靠性强、

适应性强等方面进行设计。在抢答时只需按动按钮即可数码管在系统程序的控制下自动显示组号以及倒计时自动操作。安全、可靠、省时、省力、价格便宜。

3. 1 硬件I/O地址分配

表1 三路智力抢答器输入输出口分配表

3. 2 方案选择

(1)I/O接口分别为9个输入继电器X,17个输出继电器Y.和20%的裕量,所以选择三菱PLC的FX2N-48MR系列。

自制,开采用传送、比较、移位和BCD等应用指令与基本指令组合编程来完成。

图3-2-1

(4)显示线路简介

本显示电路主要有CD4511译码器、LED数码管、电阻和直流电源四部分组成,将CD4511的A、B、C、D断输入BCD码、锁存和驱动,一十进制数在七段显示数码管中显示。

CD4511为一锁存/译码/驱动三合一译码器,其管脚如图3-2所示

主要端子介绍如下:

a)锁存部分有死未存储电路LE:锁定控制端,当LE=0时,允

许译码输出,随A~D变化。 LE=1时译码器是锁定保持状态,

译码器输出被保持在LE=0时的数值。

b)消隐输入控制端,当BI=0 时,不管其它输入端状态如何,

七段数码管均处于熄灭(消隐)状态,不显示数字。

c)输出驱动部分是具有较大电流输出的NPN双极型晶体管,当

推动LED数字显示器件时,一定要加限流电阻,限流电阻约

为200Ω,由等测试输入端LT,可测试数码管的显示七段。

当LT=“1”时,显示数8,几个段均亮。

d)当输入的BCD大雨1001时,七段显示输出全为“0”,数码

管熄火。CD4511真值表如表2:

表2 CD4511的真值表

(5)显示原理简介

本显示电路采用静态方式显示,按照时间段分别把十位、个位送到各自的CD4511译码器中,CD4511的锁存器保持所送的数据,通过其输出端驱动各自的数码管,使所送的数据在数码管中显示。PLC的输出步骤要求如下:

1)将所送的数据转换成BCD码。

2)先将所送的十位数值加到显示十位数的CD4511的A、B、C、

D端,同时是该CD4511的LE端为低电平,显示个位数的

CD4511的LE端为高电平。

3)在没有送个位数之前,再使显示十位数的CD4511的LE端为

高电平,锁存送入的数据。

4)将所送的个位数值加到显示个位数的CD4511的A、B、C、D

端,同时是该CD4511的LE端为低电平,显示个位数的CD4511

的LE端为高电平。

5)再使显示十位数的CD4511的LE端为高电平,锁存送入的数

据。至此一个显示周期完成。

3. 3 控制系统的硬件组成

在抢答器的工作过程中,主控单元的主要控制对象首先是输

入信号,控制系统就是判别这个事件有没有发生,不同的情况给

出不同的结果,让大家都很快明白。其硬件组成结构图如图3-3-1所示:

3.4 硬件系统接线图

根据题目要求和I/O地址分配,结合FX3U-32MR的PLC外部系统输入接线图如图3-4-1所示。(注:输出如显示电路图3-2-1)

图3-4-1

4 抢答器软件系统设计

4. 1软件流程图的设计

根据设计任务以及硬件设计的要求,现软件主流程图的设计如图4-1-1所示,选手显示分数刷新程序流程图如图4-1-2所示。

图4-1-1 软件主流程图(注:D n为选手总分值)

4.2 PLC控制程序的设计

4.2.1

主持人开始复位初始化

电源接通后,继电器M50—M80区间初始化。其中,X0为复位按钮,T1为10s无人抢答绿灯亮2s后自动复位定时器,如图4-2-1 。

图 4-2-1

4.2.2 三组抢答者抢答程序

图4-1-2 刷新程序流程图

M1为抢答辅助继电器,M10作抢答封锁用,T0作用为抢答时

间继电器(详见下面程序),应答时间10s到,抢答无效。有选手

按下抢答开关,M10常开得点,形成自锁,常闭失电,其他选手抢答无效,如下图4-2-2所示。

(续下图)

图4.2.2

4.2.3 计时时间程序

如下图4-2-3所示,T0为10s限时定时器,10s到,T0接通,停止抢答,选手按下抢答按钮无效;按下计时开关SB7,X007得电,M2自锁,50s 后T3得电,T4 和T5相继得电失电0.5s构成1s周期的振荡电路,振荡10s后1min时间到。(声光空见总台声光控制)

图4-2-3

4.2.4抢答总台灯光

有人抢答,M10得电自锁,总台绿灯Y15亮,应答计时50s后,T3得电,T3常闭断开,0.5s后T5得电,0.5s后T5失电,T4、T5组合的1s震荡周期,使灯闪烁10s后,随T2的得电断开。如下图4-2-4所示。

图4-2-4

4.2.5违规抢答灯光

在主持人没有按下抢答按钮情况下抢答,M10得电,总台红灯亮,反之,主持人按下抢答按钮,M1常闭失电,抢答辅助继电器M10不影响Y16的亮灭,如下图4-2-5所示。

图4-2-5

4.2.6 总台音响

从上到下分别是:开始、抢答、抢答过时、违规、应答时间到

声响1s。T6与自锁Y17控制声响时间。如下图4-2-6.

图4-2-6

4.2.7加减分程序

1)初值的设置

接通电源,把寄存器D1/D2/D3的初值设为20分,如图4-2-7.1所示。

图4-2-7.1

2)加减分及最高最低分控制

按下加分(X5)、减分(X6)、违规减分(X10)按钮,借助抢答选手的灯光Y12、Y13、Y14的自锁,有脉冲信号分别让对应的选手分别加减分,并且利用区间比较指令ZCP控制最高分(不得高于k95)、最低分(不得低于k0)。如下图图4-2-7所示。

(续下图)

图4-2-7

4.2.8各选手的分数显示

1)初值的显示辅助模块

电源接通,M8002脉冲信号让初值显示辅助继电器M20得电1s,1s后各选手的分值锁定不变,如下图4-2-8.1所示。

图4-2-8.1

2)加减分信号M3得电,如4. 1节软件流程图的设计中图4-1-2 刷新程序流程图步骤执行。

4.2.9 内部器件说明

表3 其他机内器件

4.3 抢答器总程序

根据上面的基本环节,和硬件答辩老师指出的问题,设计的三路智力抢答的总程序如附录所示。

5 安装与调试情况

5.1 调试软件与步骤

根据硬件设计和实验的要求,将各个输入输出端子和实际控制系统中的按钮、所需控制设备正确连接,完成硬件的安装。知识竞赛抢答器的程序是由三菱PLC编程软件GX-DEVELOPER编制完成,程序载入,正常工作时程序存放在存储卡中,若要修改程序,先将PLC 设定在STOP状态下,运行三菱PLC编程软件GX-DEVELOPER编程软

件,打开三路知识竞赛抢答器的程序,即可在线调试,也可用编程器进行调试。检查界限无误后,按照下面步骤调试:

我的调试步骤如下:

(1)按下抢答开始按钮SB4,先在10秒内抢答,看答题开始计时60秒后是否有灯光和声音信号,灯声是否正常。。

(2)正常抢答(SB1/SB2/SB3),按下几时按钮SB7,50秒后绿灯是否闪烁,1min后,声响和灯光检查。

(3)在正确的抢答情况下加减分是否有正确显示。

(4)然后复位,看是否正常。按下抢答开始按钮SB4,10秒内

无人抢答是否有灯光和声音信号。

(5)违规操作时,红灯声响是否起作用,违规减分是否正常。

(6)把每个选手加分(减分)大于95(小于5分)后,是否

符合最高分不超过95,最低分不低于0分的要求。

(7)所有的信号显示都要根据I/O分配,看是否正确。

5.2 调试中遇到的问题

调试终于到的问题是非常多的,下面主要说说我遇到的三个问题:双线圈输出错误;梯形图编排不合理,使程序步数复杂化、扫描周期延长;没有进行互锁处理导致的不正常。

一、双线圈输出错误

在20周的星期一,程序终于完成了,但是按照要求进行软件程序的检查,一系列的双线圈错误把我吓坏了眼,眼看要成功,一些列的错误然人突然紧张。后来查询下资料,原来双线圈错误没有想象中那么严重。

下面我根据搜索的资料介绍一下PLC双线圈输出的规则,一般情况下不允许出现双线圈输出,在三种特定的条件下允许双线圈输出。合理使用双线圈输出可以解决程序设计中的一些问题,还可以减少执行程序的时间。

问:什么是双线圈输出?

答:在用户程序中,同一编程元件的线圈使用了两次或多次,称为双线圈输出。

问:一般情况下为什么不允许双线圈输出?

答:图1a中有输出继电器Y0的两个线圈,在同一扫描周期,两个线圈的逻辑运算结果可能刚好相反,即Y0的线圈一个“通电”,一个“断电”。因为在程序执行完后才将Y0 的ON/OFF 状态送到输出模块,对于Y0控制的外部负载来说,真正起作用的是最后一个Y0 的线圈的状态。

图1 双线圈输出

由P L C 的工作原理可知,PLC程序执行的结果(即运算得到的线圈的通断状态),马上就可以被后面的逻辑运算使用。Y0的线圈的通断状态除了对外部负载起作用外,通过它的触点,还可能

对程序中别的元件的状态产生影响。图1a中Y0两个线圈所在的电路将梯形图划分为3个区域。因为PLC是循环执行程序的,A 区和C区中Y0 的状态相同。如果两个线圈的通断状态相反,不同区域中Y0的触点的状态也是相反的,可能使程序运行异常。作者曾遇到因双线圈引起的输出继电器快速振荡的异常现象。所以

一般应避免出现双线圈输出现象,例如可以将图1a改为图1b。

有时同一元件的线圈分别在不同的程序段中(如自动程序和手动

程序),不能用这种合并控制电路的方法来处理双线圈问题。

问:为什么在某些情况下允许双线圈输出?

答:虽然同一元件的线圈在程序中出现两次或多次,只要能保证

在同一扫描周期内只执行其中一个线圈对应的逻辑运算,这样的

双线圈输出是允许的。

三路智力抢答器的PLC控制49391

第一章设计任务书 一、三路抢答器应用背景和概述 抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文介绍一种抢答器,能使三个人同时参加抢答,赛场中设有1个裁判台,三个参赛台.总体设计选用三菱PLC控制,抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好。 抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,他的应用场合也随之增加;技术含量大大提升;更加方便可靠。用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。 该抢答器可作为智力竞赛的评论装置。根据应答者抢答情况自动设定答题时间,并根据情况用灯光和声音现实其回答正确和错误,在工作人员操作下对答题者所显示的分数进行加分和减分。 三路智力抢答器有三个抢答按钮SB1~SB2,最先按下按钮有效,在此之后按下按钮无效,伴有灯光、声音指示,并开始计时(答题时间),计时时间到(答题给定的时间),声音提示停止答题。如果抢答者答题正确或错误,主持人或操作员按下加分键或减分键,将对显示分数值加分或减分。该控制器组成框图如图1.1所示。 图1.1 三路智力抢答器组成框图 二、设计任务和要求 1、三路智力抢答器应达到以下要求。 (1)按下启动按钮(开始抢答)后,若10内无人抢答,则抢答器自动撤销抢答信号(有声音提示),说明

该题无人抢答自动作废。 (2)按下启动按钮(开始抢答)后,第一个按下按钮有效,其余信号(后按下)无效。有效信号用灯光和声音表示。 (3)若有人抢答即按下任意一个抢答按钮,从按下按钮开始计时,在答题时间(约 1 min)完毕时。有灯光和声音信号提示答题时间已到。 (4)三路抢答器应有灯显示和分数显示对答题正确或错误者,在操作人员控制下可对其加10分或减10分。加分最大可达到99分,减分最少分值00分。 三路智力抢答器工作流程图如图1.2所示。 图1.2 三路抢答器工作流程示意图 2、工作任务 1) 根据控制要求,进行抢答器PLC控制系统硬件电路设计,包括主电路、控制电路及PLC硬件配置电路。 2) 根据控制要求,编制抢答器PLC控制应用程序。 3) 编写设计说明书,内容包括: ①设计过程和有关说明。 ②基于PLC的抢答器电气控制系统电路图。 ③ PLC控制程序。 ④电器元器件的选择和有关计算。 ⑤电气设备明细表。 ⑥参考资料、参考书及参考手册。 ⑦其他需要说明的问题,例如操作说明书、程序的调试过程、遇到的问题及解决方法、对课程设计的认识和建议等。

抢答器PLC控制系统课程设计

) 抢答器PLC控制系统设计 一、抢答器PLC电气控制系统设计任务书 1.抢答器工艺的技术要求 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC 本身的优势使竞赛真正达到公正、公平、公开。 2.抢答器电气控制系统设计要求 1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 ~ 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间秒左右。 5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 二、抢答器PLC电气控制系统总体设计过程 (二)系统设计要求及工作原理 1.设计要求 (1)抢答器同时为8组选手分别提供按钮,按钮分别为 PB0、PB1、PB2、PB3、PB4、PB5、PB6、PB7; (2)设置一个复位按钮PB10,实现系统电路的复位,由 主持人控制; (3)当主持人打开启动开关SW1后,在设定时间T0内, 如果某组抢先按下抢答按钮,则驱动音效电路①发出声响, 指示灯L1亮,并且在8段数码管显示器(如图1所示)上显 示出抢答成功的组号,此时电路实现互锁,其它组再按下抢 答按钮为无效; (4)如果在时间T0内,无人应答,则驱动音效电路② 发出声响,指示灯L2亮,表示抢答者均放弃该题;

基于plc的3路抢答器

机电工程系 课程设计报告 题目: 三路抢答器 专业:___应用电子技术______ 班级:___应电(1)班_______ 学号:___10060101**_________ 姓名:____******____________ 指导老师:____某某某__________ _ 答辩日期:___2012年06月20号__

目录 一、绪论…………………………………..…………- 3 - 二、对本课程设计的分析……………..……………..- 3 - 2.1 所需的软硬件................................................................- 3 - 2.2 设计的构成和可以实现的功能....................................- 3 - 三、设计主要构成部分和实现方案..........................- 4 - 3.1 编程用到的指令............................................................- 4 - 3.2 I / O分配.......................................................................- 5 - 3.3 程序总体方案...............................................................- 5 - 3.4 硬件接线图....................................................................- 7 - 四、梯形图程序设计...................................................- 7 - 五、课程设计过程中遇到的主要问题以及决办法…- 9 - 六、心得体会………………………………………...- 9 - 七、附件……………………………………………..- 10 -

PLC抢答器课程设计

《电气控制与PLC》课程设计报告 题目:抢答器PLC 控制系统设计 物联网工程学院自动化专业 学号 学生姓名 指导教师王志国 二〇一四年六月

抢答器PLC 控制系统设计 设备概况: 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。 控制要求: 1)抢答器同时供8 名选手或8 个代表队比赛,分别用8 个按钮S0 ~ S7 表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED 数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30 秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5 秒左右。 5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 实验仪器设备: 西门子PLC S7-300,连接线,计算机,STEP7软件 I/O分配表

三路抢答器课程设计

设计题目 三路抢答器的PLC控制 1 主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 2 具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。 6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 3 进度安排

1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 4 完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6 总评成绩 指导教师签名日期年月日系主任审核日期年月日 目录 绪论 (3) 第一章系统设计内容及要求 (1) 第二章硬件设计 (3) 2.1硬件选取 (3) 2.1.1 三菱PLC可编程控制器 (3) 2.1.2 按钮板块 (3)

PLC控制三路抢答器

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的三路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、计时及计分输出显示功能等。 关键词:智力控制,三路抢答器, PLC,计分。

设计任务书 (1)三路抢答器应用背景和概述 抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文介绍一种抢答器,能使三个人同时参加抢答,赛场中设有1个裁判台,三个参赛台.总体设计选用三菱PLC控制,抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好。 抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,他的应用场合也随之增加;技术含量大大提升;更加方便可靠。用PLC进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。 此任务的抢答器可根据应答者抢答情况自动设定答题时间,并根据情况用灯光和声音现实其回答正确和错误,在工作人员操作下对答题者所显示的分数进行加分和减分。 三路智力抢答器有三个抢答按钮最先按下按钮有效,在此之后按下按钮无效,伴有灯光和声音指示,并开始计时答题时间。计时时间到声音提示停止答题。如果抢答者答题正确和错误主持人按下加分键或减分键,将对所显示的分数进行加分和减分。 (2)设计任务和要求 三路智力抢答器应达到以下要求。 (1)按下启动按钮(开始抢答)后,若10内无人抢答,则抢答器自动撤销抢答信号(有声音提示),说明该题无人抢答自动作废。 (2)按下启动按钮(开始抢答)后,第一个按下按钮有效,其余信号(后按下)无效。有效信号用灯光和声音表示。 (3) 若有人抢答即按下任意一个抢答按钮,从按下按钮开始计时,在答题时间(约1 min)完毕时。有灯光和声音信号提示答题时间已到。 (4) 三路抢答器应有灯显示和分数显示对答题正确或错误者,在操作人员控制下可对其加10分或减10分。加分最大可达到99分,减分最少分值0分。 三路智力抢答器工作流程图如图1

三路抢答器PLC控制课程设计

1前言 目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。 传统的普通抢答器主要存在一下缺点: ⑴在一次抢答过程中,当出现超前违规抢答时,只能处理违 规抢答信号,而对没有违规的有效信号不能进行处理,因 而使该次抢答过程变为无效。 ⑵当有多个违规抢答时,优先编码电路只能选择其中一个, 或利用抢答电路电子元件的“竞争”选择其中一个。对于 后者由于抢答电路制作完毕后电子元件被固定,各路抢答 信号的“竞争”能力也被固定,因而本质上也有优先权。 普通抢答器存在不公平性。 ⑶当有多个违规抢答时,普通抢答器只能“抓住”其中一个 违规者。因而出现了“漏洞”。 可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。 PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC型号,然后进行资源配置,设计控制系统。

2设计任务和要求 2. 1任务描述: 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。 三路智力抢答器有三个抢答按钮SB1~SB3,在允许抢答的情况下最先按下按钮有效,在此以后按下的按钮无效,伴有灯光、声音指示,并开始计时(答题时间),计时时间到(答题给定的时间),声音提示停止答题。如果抢答者答题正确或错误,主持人或操作员按下加分键或减分键,将对显示分数值加分或减分。该控制器组成框图如图2-1-1所示。 图2-1-1

PLC课程设计三路抢答器

PLC课程设计三路 抢答器

1 引言 1.1设计任务与要求 一、控制要求 设计三路抢答器控制系统,其控制要求如下:一个三路抢答器,任意一组抢先按下后,显示器能及时显示该组的编号而且指示灯开始闪烁,同时锁住抢答器,使其它组按下无效,抢答器复位后才可重新抢答。 二、设计I/O点分配 三、画出PLC的外部接线图 四、设计完整的梯形图 五、编译、下载、运行 六、工作流程如图1所示 图1.1工作流程图

1.2实用价值与理论意义 当前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。可是随着功能的增多,电路也越复杂,而且成本偏高,故障率高,显示方式简单或者没有。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并经过数字量和模拟量的输入/输出来控制机械设备或生产过程. 抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文介绍一种抢答器,能使三个人同时参加抢答,赛场中设有1个裁判台,三个参赛台.总体设计选用施耐德PLC控制,抢答操作方便,在很多的场所都能够使用,而且给人的视觉效果非常好。 抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,她的应用场合也随之增加;技术含量大大提升;更加方便可靠。用PLC进行知识竞赛抢答器设

抢答器PLC控制系统方案

2017 ~2018学年第一学期 《抢答器PLC控制系统》课程设计报告 题目:抢答器PLC控制系统 专业:自动化 班级:14自动化2班 姓名:汪洋吴金朝弘梓楠 指导教师: 电气工程学院 2017年10月14日

一、任务书

抢答器PLC控制系统设计 摘要 早期主要应用于工业控制,但随着技术的发展,其应用领域正在不断扩大可编程控制器(Programmable Logical Controller)简称PC或PLC,是60年代末发明的工业控制器件,是美国数字公司(DEC )为美国通用公司(GM)研制开发并成功应用于汽车生产线上,可编程控制器自此诞生。随着计算机技术的飞速发展,PLC软硬件水平与规模也发生了质与量的变化,其控制技术也朝着智能化方向不断发展,同时推动了先进制造技术的相应发展。 虽然PLC 问世时间不长,但是随着微处理器的出现,大规模、超大规模集成电路技术的迅速发展和数据通讯技术的不断进步,PLC也迅速发展,其发展过程大致可分三个阶段: 1.早期的PLC(60年代末—70年代中期) 早期的PLC一般称为可编程逻辑控制器。这时的PLC多少有点继电器控制装置的替代物的含义,其主要功能只是执行原先由继电器完成的顺序控制、定时等。它在硬件上以准计算机的形式出现,在I/O接口电路上作了改进以适应工业控制现场的要求。装置中的器件主要采用分立元件和中小规模集成电路,存储器采用磁芯存储器。另外还采取了一些措施,以提高其抗干扰的能力。在软件编程上,采用广大电气工程技术人员所熟悉的继电器控制线路的方式—梯形图。因此,早期的PLC的性能要优于继电器控制装置,其优点包括简单易懂,便于安装,体积小,能耗低,有故障指使,能重复使用等。其中PLC特有的编程语言—梯形图一直沿用至今。 2.中期的PLC(70年代中期—80年代中后期) 在70 年代微处理器的出现使PLC发生了巨大的变化。美国,日本,德国等一些厂家先后开始采用微处理器作为PLC的中央处理单元(CPU)。 这样,使PLC的功能大大增强。在软件方面,除了保持其原有的逻辑运算、计时、计数等功能以外,还增加了算术运算、数据处理和传送、通讯、自诊断等功能。在硬件方面,除了保持其原有的开关模块以外,还增加了模拟量模块、远程I/O模块、各种特殊功能模块。并扩大了存储器的容量,使各种逻辑线圈的数量增加,还提供了一定数量的数据寄存器,使PLC的应用围得以扩大。 3.近期的PLC(80年代中后期至今) 进入80年代中、后期,由于超大规模集成电路技术的迅速发展,微处理器的市场价格大幅度下跌,使得各种类型的PLC所采用的微处理器的当次普遍提高。而且,为了进一步提高PLC的处理速度,各制造厂商还纷纷研制开发了专用逻辑处理芯片。这样使得PLC软、硬件功能发生了巨大变化。

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生:

学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号 工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生学生学号2013233 评定意见:

评定成绩: 指导教师(签名):年月日 (此页背书) 评定意见参考提纲: 1、学生完成的工作量与容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

工程学院 机械工程系系(部)课程设计任务书2015-2016 学年第一学期2016 年 1 月10日

教研室主任(签名)系(部)主任(签名) 摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定

智力抢答器PLC控制的实现方法

电气控制课程设计 专 业: 班 级: 姓 名: 学 号: 指导教师: 兰州交通大学自动化与电气工程学院 2013年7月12日 自动化 动1001班

智力抢答器PLC 控制的实现方法 1问题分析及解决方案框架确定 问题描述 在各种形式的智力竞赛中,抢答器作为智力竞赛的批判装置得到了广泛的应用。设计抢答器的原则是:(1)可以根据比赛规则,设定答题时间。(2)能够用声光信号表示竞赛状态,调节赛场气氛。(3)用数码管显示参赛者的得分情况。 控制任务和功能要求 (1)竞赛开始时,主持人接通启动/停止按钮(SA ),指示灯HL1亮。 (2)当主持人按下开始抢答按钮(SB0)后,如果在10S 内无人抢答,赛场的音响(HA )发出持续的声音,指示灯HL2亮,表示抢答器自动撤销此次抢答信号。 (3)当主持人按下开始抢答按钮(SB0)后,如果在10S 内有人抢答(按下抢答按钮SB3、SB4或SB5),则最先按下按钮的信号有效,相应的抢答桌上的抢答按钮灯(HL3、HL4或HL5)亮,赛场的音响发出短促音(,,)。 (4)当主持人确认抢答有效后,按下答题计时按钮(SB6),抢答桌上的抢答灯灭,计时开始,计时时间到(假设为20S ),赛场的音响发出持续3S 的长音,抢答桌上抢答灯再次亮。 (5)如果抢答者在规定的时间内正确回答问题,主持人或助手按下加分按钮,为抢答者加分(分数自定),同时抢答桌上的指示灯快速闪烁3S(闪烁频率为,。 (6)如果抢答者在规定的时间不能正确回答问题,主持人或助手按下减分按钮,为抢答者减分(分数自定)。模型如图1。 启动按钮开始抢答应答按钮加分按钮 减分按钮 图1 抢答器模型 2 PLC 选型及硬件配置 现在市场上的PLC 主要是西门子的S7-300PLC ,具有优化配合、强大的模拟技

基于PLC的三路智力抢答器的设计与实现

金肯职业技术学院毕业设计(论文) 题目基于数字电路4路智力抢答器 的设计与实现 计算机通信工程系电气自动化技术专业 09 级 姓名焦双银学号0703040404 指导教师顾亚文 二 0一 0年一月十三日

目录 第一章概述 (5) 1.1数字电路简介 (5) 1.2抢答器简介 (6) 第二章方案的选择 (7) 2.1系统的任务需求 (7) 2.2系统设计方案分析 (7) 2.2.1基于单片机的方案 (8) 2.2.2基于PLC的方案 (9) 2.3方案的确定 (10) 2.4本章小结 (10) 第三章抢答器硬件系统的设计 (11) 3.1硬件总体方案 (11) 3.2 器件的选择 (12) 3.2.1 主器件的选择 (12) 3.2.2其它器件的选择 (13) 3.3 I/O分配与电气接口图 (13) 3.4硬件接线图 (16) 3.5本章小结 (16) 第四章抢答器软件系统的设计 (17) 4.1 软件的总体方案 (17) 4.2 灯控系统的软件方案 (18) 4.2.1 灯控波形图 (18) 4.2.2 灯控梯形图程序 (19) 4.3 数码管显示系统的软件方案 (20) 4.3.1真值表与逻辑表达 (20) 4.3.2数码管的梯形图 (22) 4.4本章小结 (25) 第五章抢答器硬件电路板的制作和软硬件的调试 (26) 5.1电路板的制作过程 (26) 5.2调试过程与成果展示 (26) 5.3本章小结 (27) 总结 (28) 致谢 (29) 参考文献 (30)

基于PLC的三路智力抢答器的设计与实现 专业班级:电气自动化技术四班学生姓名:项超超 指导教师:顾亚文 摘要本文利用三菱FX2N系列PLC对知识竞赛抢答器的控制,阐述了控制方 案。实现抢答器功能的方式有多种,可以采用早期的模拟电路、数字电路或模数混合电路。近年来随着科技的飞速发展,单片机、PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新。本文采用日本三菱公司生产的FX2N-48MR型PLC 作为核心控制器进行三路抢答器系统的设计,并且设计出了系统结构图、程序指令、梯形图以及输入输出端子的分配方案。同时根据知识竞赛抢答器的控制要求和特点,确定PLC 的输入输出分配,并进行现场调试。 关键词:PLC;智力抢答器;程序设计

抢答器PLC控制系统课程设计

抢答器PLC控制系统设计 一、抢答器PLC电气控制系统设计任务书 1.抢答器工艺的技术要求 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。 2.抢答器电气控制系统设计要求 1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 5)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 二、抢答器PLC电气控制系统总体设计过程 (二)系统设计要求及工作原理 1.设计要求 (1)抢答器同时为8组选手分别提供按钮,按钮分别为 PB0、PB1、PB2、PB3、PB4、PB5、PB6、PB7; (2)设置一个复位按钮PB10,实现系统电路的复位,由 主持人控制; (3)当主持人打开启动开关SW1后,在设定时间T0内, 如果某组抢先按下抢答按钮,则驱动音效电路①发出声响, 指示灯L1亮,并且在8段数码管显示器(如图1所示)上显 示出抢答成功的组号,此时电路实现互锁,其它组再按下抢 答按钮为无效; (4)如果在时间T0内,无人应答,则驱动音效电路② 发出声响,指示灯L2亮,表示抢答者均放弃该题; (5)在抢答成功后,主持人打开限时开关SW2,启动定

三路智力抢答器的PLC控制学习资料

三路智力抢答器的 P L C控制

第一章设计任务书 一、三路抢答器应用背景和概述 抢答器广泛用于电视台、商业机构及学校,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。本文介绍一种抢答器,能使三个人同时参加抢答,赛场中设有1个裁判台,三个参赛台.总体设计选用三菱PLC控制,抢答操作方便,在很多的场所都可以使用,并且给人的视觉效果非常好。 抢答器,顾名思义就是用于比赛时,跟对手比反应时间,思维运转快慢的新型电器。随着社会科技技术的不断发展,他的应用场合也随之增加;技术含量大大提升;更加方便可靠。用PLC 进行知识竞赛抢答器设计,其控制方便,灵活,只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案。 该抢答器可作为智力竞赛的评论装置。根据应答者抢答情况自动设定答题时间,并根据情况用灯光和声音现实其回答正确和错误,在工作人员操作下对答题者所显示的分数进行加分和减分。 三路智力抢答器有三个抢答按钮SB1~SB2,最先按下按钮有效,在此之后按下按钮无效,伴有灯光、声音指示,并开始计时(答题时间),计时时间到(答题给定的时间),声音提示停止答题。如果抢答者答题正确或错误,主持人或操作员按下加分键或减分键,将对显示分数值加分或减分。该控制器组成框图如图1.1所示。

图1.1 三路智力抢答器组成框图 二、设计任务和要求 1、三路智力抢答器应达到以下要求。 (1)按下启动按钮(开始抢答)后,若10内无人抢答,则抢答器自动撤销抢答信号(有声音提示),说明该题无人抢答自动作废。 (2)按下启动按钮(开始抢答)后,第一个按下按钮有效,其余信号(后按下)无效。有效信号用灯光和声音表示。 (3)若有人抢答即按下任意一个抢答按钮,从按下按钮开始计时,在答题时间(约1 min)完毕时。有灯光和声音信号提示答题时间已到。 (4)三路抢答器应有灯显示和分数显示对答题正确或错误者,在操作人员控制下可对其加10分或减10分。加分最大可达到99分,减分最少分值00分。 三路智力抢答器工作流程图如图1.2所示。

智力抢答器PLC设计

《机电传动控制》课程设计说明书设计题目:智力抢答器的PLC控制 专业 班级 学号 姓名 指导教师 提交日期2015年12月

目录 第1章绪论 (3) 第2章智力抢答器的设计方案 (4) 2.1 设计任务分析 (4) 2.1.1 设计的目的 (4) 2.1.2 设计的内容 (4) 2.2 系统设计方案 (5) 第3章智力抢答器的PLC控制系统原理 (6) 3.1 智力抢答器的PLC控制系统框图 (6) 3.2 智力抢答器PLC控制的控制原理 (6) 第4章系统硬件电路设计 (7) 4.1 电源的选择 (7) 4.2 PLC选型和配置 (7) 4.2.1 PLC的机型和容量 (7) 4.2.2 I/O模块 (7) 4.3 PLC的I/O点的分配及I/O接线图 (8) 4.3.1 PLC的I/O点的分配 (8) 4.2.2 PLC的I/O端子与输入/输出设备的连接图 (10) 第5章PLC程序设计 (10) 5.1 控制程序流程分析以及顺序功能图 (10) 5.2 脉冲信号示意图 (12) 5.3 控制程序梯形图和相应的程序指令表 (13) 第六章系统调试 (16) 参考文献 (19) 设计心得

第1章绪论 抢答器是由优先权编码器构成的逻辑电路,其运算速度慢,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更加困难。而本设计将以PLC为核心设计了顺序功能图,程序指令表以及控制程序梯形图的分配方案,在保留原始抢答器的基本功能的同时又增加了一系列实用的功能并简化了抢答器的电路结构,使得只要改变输入PLC的控制程序,便可改变竞赛抢答器的抢答方案,从而使得竞赛不断完善其公平,公正性。 通过这次课程设计,要求学生掌握一定的理论基础知识,同时具备一定的实践设计技能,并且能够利用PLC控制技术结合实际情况进行系统设计以及编程。

抢答器PLC控制系统程序的设计说明

目录 1 绪论…………………………………………………………………….…….2~3 1.1 课程题目 (2) 1.2 设计目的及要求 (2) 1.3 原始资料 (2) 1.4 课题要求 (3) 1.5 日程安排 (3) 1.6 主要参考书 (3) 2 器件选择……………………………………………………………………...4~6 2.1PLC的概述 (4) 2.2抢答器整体功能的介绍 (4) 2.3硬件电路设 计………………………………………………...……….…4~6 2.3.1控制系统选取 (4) 2.3.2 OMRON SYSMAC系列PLC的编程元件介绍 (5) 2.3.3 OMRON SYSMAC系列PLC通用定时器 (6) 2.3.4输入继电器与输出继电器……………………………..……………6~7 2.3.5蜂鸣器的说明 (7) 3 程序设计…………………………………………………………………….8~13 3.1 总体设计思路 (8) 3.2 PLC输入输出口分配 (9) 3.3抢答器的输入输出接线图 (10) 3.4抢答器的外部接线图 (11) 3.5抢答器的梯形图………………………….………………………….12~14 4 安装、接线及系统联合测试 (15) 5 总结 (16) 6参考文献 (17)

1 绪论 1.1 课程题目 抢答器PLC控制系统设计 1.2 设计目的及要求 1、熟悉电气控制系统的一般设计原则、设计容及设计程序。 2、掌握电气设计制图的基本规,熟练掌握PLC程序设计的方法和步骤。 3、学会收集、分析、运用电气设计有关资料及数据。 4、培养独立工作和工程设计能力以及综合运用专业知识解决实际工程技术问题的能力。 1.3 原始资料 1.3.1设备概况 实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,只具有抢答锁定功能的一个电路,以模拟电路、数字电路或者模拟电路与数字电路相结合的产品,这部分抢答器已相当成熟。现在的抢答器具有倒计时、定时、自动(或手动)复位、报警(即声响提示,有的以音乐的方式来体现)、屏幕显示、按键发光等多种功能。但功能越多的电路相对来说就越复杂,且成本偏高,故障高,显示方式简单(有的甚至没有显示电路),无法判断提前抢按按钮的行为,不便于电路升级换代。本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC 本身的优势使竞赛真正达到公正、公平、公开。 1.3.2控制要求 1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0-S7表示。 2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4)抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。 5)参赛选手在设定的时间进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 6)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。

三路智力抢答器PLC控制

三路智力抢答器三菱FX on系列PLC 课程设计说明书 学院机电工程学院 班级09电气本一 姓名赵辉 学号90513002

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的三路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、计时及计分输出显示功能等。 关键词:智能控制;三路抢答器;PLC;计分

目录 1 前言 (4) 2 系统设计内容及要求 (4) 2.1 概述 (4) 2.2 设计要求 (5) 2.3 设计任务 (6) 3 抢答器硬件系统设计 (6) 3.1 控制系统选取 (6) 3.2 控制系统的硬件组成 (6) 3.3 硬件I/O地址分配 (7) 3.4 硬件系统接线图 (8) 3.5 硬件接线分析 (9) 4 抢答器软件系统设计 (9) 4.1 整体设计 (9) 4.2 PLC控制程序 (9) 5 仿真 (18) 6 结论 (21) 参考文献 (22)

1 前言 目前国内外市场上已有很多类型的知识竞赛抢答器,其大致采用模拟电路、数字电路、单片机或者PLC芯片、计算机控制系统等四类产品。对于采用模拟电路或者数字电路的产品,其技术相当成熟。但是随着功能的增多,电路也越复杂,并且成本偏高,故障率高,显示方式简单或者没有,无法准确判断抢按按钮的行为,也不便于参数调节及其功能的升级换代。对于计算机控制系统来说,其程序简单,反应灵敏,便于参数调节及其功能的升级换代,但鉴于其必须配合计算机实用,可操作性差,没有得到广泛的应用。而对于科技飞速发展的今天,PLC、单片机应用的不断深入,带动了传统控制检测技术的不断更新,并鉴于其本身具有的优点,以PLC、单片机为核心的部件成为主流。 传统的普通抢答器主要存在一下缺点: ⑴在一次抢答过程中,当出现超前违规抢答时,只能处理违规抢答信号,而对没有违规的有效信号不能进行处理,因而使该次抢答过程变为无效。 ⑵当有多个违规抢答时,优先编码电路只能选择其中一个,或利用抢答电路电子元件的“竞争”选择其中一个。对于后者由于抢答电路制作完毕后电子元件被固定,各路抢答信号的“竞争”能力也被固定,因而本质上也有优先权。普通抢答器存在不公平性。 ⑶当有多个违规抢答时,普通抢答器只能“抓住”其中一个违规者。因而出现了“漏洞”。 可编程控制器是以计算机为核心的通用自动控制装置,它的功能强、可靠性极强、编程简单、使用方便、体积小。现已广泛应用于工业控制的各个领域,它以微处理器为核心,用编写的程序进行逻辑控制、定时、记数和算术运算等,并通过数字量和模拟量的输入/输出来控制机械设备或生产过程。 PLC的学习比一般编程学习困难在于,要完成一个控制系统不仅需要掌握一定的编程技术,更为重要的是要知道如何针对实际应用的需要选择合适的PLC 型号,然后进行资源配置,设计控制系统。 最后,在该设计过程中给予极大鼓励和帮助的老师、同学,在此表示衷心的感谢。由于在设计过程中存在许多不足,希望老师指正。 2 系统设计内容及要求 2.1 概述 该抢答器可作为智力竞赛的评判装置。根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其回答正确或错误,在工作人员操作下对答题者所显示的分数加分或减分。

三路智力抢答器的PLC控制

佛山科学技术学院 课程设计任务书 专业年级班 1设计题目 三路抢答器的PLC控制 2主要内容 该抢答器作为智力竞赛的品判装置,根据应答者抢答情况自动设定答题时间,并根据答题情况用灯光、声音显示其答题正确、错误及违规,在主持人的操作下,对答题者所显示的分数值进行加分、减分或违规扣分。3具体要求 1.主持人提出问题按下启动按钮(开始抢答)后,若10s内无人抢答,则有声音提示,说明该题无人抢答,自动作废。 2.主持人提出问题在未按下启动按钮(开始抢答)之前抢答,则违规,抢答器报出违规信号,并作减分处理。 3.主持人提出问题按下启动按钮(开始抢答)后,第一个按下按钮的信号有效,后按下的按钮信号无效。 4.主持人按下计时按钮,开始计时。答题时间为1min,答题过程中有灯光提示时间,时间到并有声音指示。 5.抢答器有数码显示器显示各答题者的分数,由主持人控制,答对者加10分,答错者减10分,违规者扣5分,减分计算中若出现负分作0分处理。

6.答题完毕按下复位按钮,恢复抢答器原始状态,为下一轮抢答做好准备。 答题过程中灯光、音响的安排及注意事项见课程设计指导书。 在上述具体的要求下,完成硬件电路的制作和接线,PLC控制程序的设计及联机调试,直至满足要求。 4进度安排 1.理解课程设计内容及设计要求,查阅资料(第一周的星期一)。 2. PLC外围硬件电路的制作和接线,构思设计方案(第一周的星期二至星期三)。 3. PLC控制程序设计、程序调试及系统的总体调试,撰写课程设计报告(第一周的星期四至第二周星期四)。 4. 课程设计答辩、批改设计报告,登载成绩(第二周星期五)。 5完成后应上交的材料 PLC外围硬件连接电路、程序清单及课程设计总结报告。 6总评成绩 指导教师签名日期年月日系主任审核日期年月日

四路抢答器的plc控制线路的设计

目录 第一章设计选题及目的 (1) 1.1 设计选题 (1) 1.2 设计目的 (1) 第二章功能介绍 (2) 2.1 主要功能介绍 (2) 2.2 扩展功能介绍 (2) 第三章总体方案设计模块 (3) 第四章 PLC抢答器编程 (4) 4.1 选定输入、输出设备 (4) 4.2 控制梯形图 (5) 4.3 工作过程 (6) 4.4 程序指令表 (6) 4.5 外部接线图.................................. 错误!未定义书签。第五章单元模块设计.. (8) 5.1 抢答器电路模块 (8) 5.2 定时电路模块 (12) 5.3 控制电路 (16) 第六章组装和调试 (18) 参考文献 (18) 致谢 (18)

第一章设计选题及目的 1.1 设计选题 设计选题:四路抢答器的PLC控制电路的设计。 控制要求: (1)抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S1~S4表示。 (2)设置一个系统复位和抢答开始控制开关S0和S5,该开关由主持人控制,控制显示结果为S0对应红色指示灯状态,S5对应绿色指示灯状态;设置一个“回答开始”按钮s6,由主持人控制抢答时间,显示方式是黄色指示灯。 (3)抢答开始控制开关S5按下时方可抢答,竞赛者(4人)若要回答主持人所提问题时,须抢先按下桌上的抢答按钮,对应组的指示灯亮; (4)优先抢答选手对应的指示灯须等主持人按下复位按钮S0后,指示灯才熄灭; (5)如果竞赛者在主持人打开S5开关10秒内抢先按下按钮,竞赛者可得到一次幸运回答的机会;如果在主持人打开S5开关10秒内无人抢答,则必须有警示,此时红色指示灯亮,以示竞赛者放弃该题,系统复位; (6)在竞赛者抢答成功后,应限定一定的时间回答问题,根据题目难易可设定时间(如1分钟);此时由主持人控制时间,按下”回答开始”按钮,计时1分钟,计时结束时黄色指示灯灭,回答结束。 1.2 设计目的 通过这次课程设计,灵活运用plc理论知识,在实践中实现巩固所学知识,加强综合能力,培养电路设计能力,提高实验技术,启发创新思想。

相关主题
文本预览
相关文档 最新文档