当前位置:文档之家› 台湾ALSTC台湾艾立新 五位类比输入显示电表

台湾ALSTC台湾艾立新 五位类比输入显示电表

台湾ALSTC台湾艾立新 五位类比输入显示电表
台湾ALSTC台湾艾立新 五位类比输入显示电表

七段码数字钟课程设计讲解

目录 1 系统概述 (2) 1.1 数字钟的设计目的 (2) 1.2 基本内容及目标 (2) 2 方案论证 (3) 2.1 数字钟设计方案论证 (3) 2.2 数码管显示原理 (3) 2.3 控制任务要求 (4) 3 硬件设计 (4) 3.1 系统的原理方框图(略) (4) 3.2 主电路设计 (4) 3.3 I/O接点地址分配 (5) 3.4 编程元器件选型及地址分配 (6) 4 软件设计 (7) 4.1 主流程图 (7) 4.2 PLC梯形图 (8) 5 系统调试结果分析 (19) 设计心得 (20) 参考文献 (21)

1 系统概述 本设计共分五大章:第一章是系统概述,介绍了PLC系统概述和设计目的、设计内容以及实现的目标。第二章是方案论证,即数码管数字电子钟设计方案与工作原理介绍及应用。第三章是硬件设计,即数字电子钟的主电路设计及元器件的选型,进一步清楚的了解其内部结果和工作原理。第四章是软件设计,即数字电子钟的主流程及梯形图程序,第五章是系统调试,即硬件软件调试结果及结果分析等。设计心得即是本设计所取得的成果及其设计意义。针对本设计在制作过程所参考文献及资料的统一说明及介绍。 1.1 数字钟的设计目的 本系统采用计数器、显示器和校时电路组成。由LED数码管来显示PLC所输出的信号。总体方案设计由主体电路和扩展电路两大部分组成,其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。 1.2 基本内容及目标 1.1.1 PLC控制系统的基本内容包括如下几点 (1) 选择用户输入、输出设备以及输出设备驱动的控制对象,这些设备属于一般的电气元件,选择方法请参考其他有关资料。 (2) PLC的选择:PLC是控制系统的核心部件,对于保证整个控制系统的技术经济性能指标起着重要作用。选择PLC,应包括机型、容量、I/O点数、电源模块以及特殊功能模块的选择等。 (3) 设计控制程序:主电路、梯形图、控制系统流程图等。控制程序是控制整个系统工作的软件,是保证系统工作正常、安全可靠的关键,因此控制程序的设计必须经过反复调试、修改,直到符合要求为止。 (4) 编制系统的技术文件:包括说明书、电气图及电气元件明细表等。传统的电气图,一般包括电气原理图、电器布置图及电气安装接线图。 1.1.2 设计的实现目标 本设计运用SIMEINS S7—200软件控制系统为基础,设计了PLC电子时钟的梯形图。学习PLC的最终目的是能把它应用到实际控制系统中去,若遇到实际的工业控制项目,需用PLC进行控制,应如何着手去设计一个控制系统。

智能电表异常显示代码解决方案

智能电表异常显示代码解决方案 本文档对电表需要通过显示提示的以下4类异常代码做出原因分析以及解决措施。下面对各类异常的提示代码进行定义。所有异常提示的均以Err-作为前缀,代码为两位BCD数字。对于已经在液晶屏上有提示符号的将不再定义,按照型式规范中相关说明执行。 一、电表故障类异常提示 此类异常一旦发生需要将显示的循环显示功能暂停,液晶屏固定显示该异常代码。 异常名称异常类型异常代码处理方法 控制回路错 电表故障Err-01 返厂处理 误 ESAM错误电表故障Err-02 返厂处理 电表故障Err-03 返厂处理 内卡初始化 错误 时钟电池电 电表故障Err-04 返厂处理 压低 电表故障Err-05 返厂处理 内部程序错 误 存储器故障 电表故障Err-06 返厂处理 或损坏 时钟故障电表故障Err-07 返厂处理

二、事件类异常提示 此类异常一旦发生需要在显示的循环显示的第一屏插入显示该异常代码。 异常名称异常类型异常代码处理方法 过载事件类异常Err-51 须告知该表 用户减少负 荷 电流严重不平衡事件类异常Err-52 检查并调整 线路各相电 流 过压事件类异常Err-53 检查电压过 高原因 功率因数超限Err-54 检查线损是 否正常 超有功需量报警事件事件类异常Err-55 提醒用户减 少用电负荷 有功电能方向改变(双向计量除外)事件类异常Err-56 检查接线是 否正确 三、电表状态提示

此类异常一旦发生需要在显示的循环显示的第一屏插入显 示该异常代码。目前此类异常只有停电显示电池欠压、透支 状态两种,但是目前这两种异常均有液晶提示符号,因此 不另外定义。 四、IC卡相关提示 此类异常为IC卡处理过程中发生异常需要在卡处理结束后 进行提示。 异常名称异常类型异常代码处理方法 认证错误IC卡相关提示Err-10 插入密钥下装卡,待提示 成功后才能进行开户购电ESAM验证失败IC卡相关提示Err-11 返厂处理 客户编号不匹配IC卡相关提示Err-12 由售电大厅检查该用户卡 内表号或户号设置是否与 现场安装的电表表号或户 号相同,如不相同,首先 检查是否有装错表或插错 卡等现象。如果的确因为 制卡有误,可通过售电系 对卡内表号或户号进行更 改。

七段数码管译码扫描显示实验指导书

实验四 七段数码管译码扫描显示 一、实验目的: 通过对译码和串行扫描电路的设计,理解多位数码管串行扫描输出的工作原理以及七段数码管的译码以及串行扫描输出的设计方法。 二、实验条件: 1. 安装WindowsXP 系统的PC 机; 2. 安装QuartusII6.0 EDA 软件; 3. GW48型SOPC 开发平台; 三、实验要求: 通过VHDL 编程,实现7段数码管的译码输出,并通过所设计的串行扫描控制,对8个7段数码管扫描输出,要求8个数码管从左到右分别显示“1”、“2” 、“3” 、“4” 、“5” 、“6” 、“7” 、“8”,并且每一位都对应有一个显示控制端,当控制端为高电平时,对应数码管点亮,否则熄灭。具体接口如下所示: clk :时钟输入端,此信号是 串行扫描的同步信号。 data_control[7..0]:8个分别控制数码管显示的输入信号; led_addr[7..0]:对8个数码管进行串行扫描的输出控制信号; seg7_data[6..0]:驱动7段数码管各显示段的输出信号; 首先在QuartusII 上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC 开发平台中。在硬件实现中,要求: 1. 用实验平台的8个数码管输出显示(共阴接法),并且用其下方的8个按键分别控制对应数码管的显示与否。 注:采用I/O 模式5实现输入控制和输出显示方式。为了实现数码管的串行扫描,必须把数码管连接成串行控制输出电路,我们可以通过I/O 板上的跳线实现此操作,如右图所示,把模式数码管右方的跳线(JP24)向下连接即可。 此跳线必须下置,以实现数码管的串行扫描接法,具体电路如附录所示

6位7段LED数码管显示

目录 1. 设计目的与要求..................................................... - 1 - 1.1 设计目的...................................................... - 1 - 1.2 设计环境...................................................... - 1 - 1.3 设计要求...................................................... - 1 - 2. 设计的方案与基本原理............................................... - 2 - 2.1 6 位 8 段数码管工作原理....................................... - 2 - 2.2 实验箱上 SPCE061A控制 6 位 8 段数码管的显示................... - 3 - 2.3 动态显示原理.................................................. - 4 - 2.4 unSP IDE2.0.0 简介............................................ - 6 - 2.5 系统硬件连接.................................................. - 7 - 3. 程序设计........................................................... - 8 - 3.1主程序......................................................... - 8 - 3.2 中断服务程序.................................................. - 9 - 4.调试............................................................... - 12 - 4.1 实验步骤..................................................... - 12 - 4.2 调试结果..................................................... - 12 - 5.总结............................................................... - 14 - 6.参考资料........................................................... - 15 - 附录设计程序汇总.................................................... - 16 -

智能电表错误代码详解

智能电表错误代码详解一、国网表故障代码说明: 故障提示显示方式如图所示:

”符号,电池电压低,液晶有电池显示“如果停电后,电表时间会丢失,此时需要更换电能表。无意义Err 电表故障内部程序错误- 05 06 存储器故障或损坏-Err电表故障时间错误,需要观察电表时间是否有问题。电表故障单相表规范已定义 Err -08 时钟故障倍的最大电流时,用户使用负荷大于的1.2事件类异常-Err过载 51 -51”Err电表轮显“ Err事件类异常电流严重不平衡-对单相表无意义52 Un Err过压-53 事件类异常 1.15电压大于倍Err“54 Err 功率因数超限-0.2,电表轮显用户环境功率因数小于 54”-超有功需量报警事件 Err事件类异常-55 进出线反了,会提示‘Err-Err-变向能功有电方改 56',液晶有56 事件类异常 (双向计量除外)“”闪烁。 没有加密成功或远程更新密钥失败。认证错误-Err10 IC卡相关提示单相表规范已定义 11 验证失败ESAM 卡相关提示ICErr- Err用户号错,客户编号不匹配会提示。- IC卡相关提示12 用户卡或远程下发参数,用户卡或远程下发参数时,购电次数错,会Err卡相关提示充值次数错误IC 13 - 提示。”为最大值,超购电囤有液晶提示符号购电超囤积卡相关提示IC14 -Err设置成“999999.99积(购电时如果:剩余金额+本次购电金额)金额限值,则出现该提示。>囤积进现场参数设置卡对本IC卡相关提示 Err-15 连着多次对一只表插一张现场参数卡则第2 先插入一张现场表已经失效或者次就会出现该提示参数设置卡版本号大的卡,再插入一张比上或者次的版本号小的卡,就会出现该提示

用七段数码管显示简单字符

用七段数码管显示简单字符——译码器及其应 用 一、实验目的 1、了解显示译码器的结构和理解其工作原理。 2、学习7段数码显示译码器设计。 3、学习用基逻辑门、3-8译码器、4-1选择器控制显示器的显示。 二、实验内容 1、了解逻辑门、3-8译码器、4-1选择器的工作原理,设计基本电路,实现以下功能: C2C1C0是译码器的3个输入,用C2C1C0的不同取值来选择在七段数码管上输出不同字符。七段数码管是共阳极的。 图1 七段译码器 C2C1C0的不同取值对应显示的字母如下: 图2 字符编码

三、实验仪器及设备: 一、PC 机 二、 Quartus Ⅱ 9.0 三、 DE2-70 四、显示器 四、实验步骤 1、列出真值表,计算要实现以上功能时数码管的0-7段对应的逻辑函数式。 真值表如下: 函数表达式如下: “0”=' 02C C + “1”=“2”=0'1'012C C C C C ++ “3”=(2C +1C +'0C )(2C +0C +'1C )(2C +' 1C +'0C ) “4”=“5”=2C “6”=2C +1C +02C C 2、新建一个 quartusII 工程,用以在DE2_70平台上实现所要求的电路。 建立一个BDF 文件,基于SSI ,实现七段译码器电路,用SW3_SW1作为输入C2C1C0, DE2_70平台上的的数码管分别为HEX0~HEX7,输出接HEX1。 参照de2_70_pin_assignments.csv 中的引脚分配表配置引脚。 新建仿真文件,给出输入信号,观察输出信号是否符合要求。 编译工程,完成后下载到FPGA 中。 拨动波段开关并观察七段数码管HEX0的显示,以验证设计的功能是否正确。 基于3-8译码器和4-1选择器重复上述2.、中的步骤完成设计。

七段数码管显示

七段数码管显示设计报告 目录 一、设计任务 二、题目分析与整体构思 三、硬件电路设计 四、程序设计 五、心得体会

一.设计任务 数码的显示方式一般有三种:第一种是字型重叠式;第二种是分段式;第三种是点阵式。目前以分段式应用最为普遍,主要器件是七段发光二极管(LED)显示器。它可分为两种,一是共阳极显示器(发光二极管的阳极都接在一个公共点上),另一是共阴极显示器(发光二极管的阳极都接在一个公共点上,使用时公共点接地)。 数码管动态扫描显示,是将所用数码管的相同段(a~g 和p)并联在一起,通过选位通 信号分时控制各个数码管的公共端,循环依次点亮各个数码管。当切换速度足够快时,由于人眼的“视觉暂留”现象,视觉效果将是数码管同时显示。 根据七段数码管的显示原理,设计一个带复位的七段数码管循环扫描程序,本程序需要着重实现两部分: 1. 显示数据的设置:程序设定4 位数码管从左至右分别显示1、2、3、4; 2. 动态扫描:实现动态扫描时序。 利用EXCD-1 开发板实现七段数码管的显示设计,使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7 个发光LED 组成,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA相应引脚。四位数码管与FPGA 之间通过8 位拨码开关(JP1)进行连接。 二.题目分析与整体构思 使用EXCD-1 开发板的数码管为四位共阴极数码管,每一位的共阴极7 段数码管由7 个发光LED 组成,呈“”字状,7 个发光LED 的阴极连接在一起,阳极分别连接至FPGA 相应引脚。SEG_SEL1、SEG_SEL2、SEG_SEL3 和SEG_SEL4 为四位7 段数码管的位选择端。当其值为“1”时,相应的7 段数码管被选通。当输入到7 段数码管SEG_A~ SEG_G 和SEG_DP 管脚的数据为高电平时,该管脚对应的段变亮,当输入到7 段数码管 SEG_A~SEG_G 和SEG_DP 管脚的数据为低电平时,该管脚对应的段变灭。该四位数码管与FPGA 之间通过8 位拨码开关(JP1)进行连接,当DIP 开关全部拨到上方时(板上标示为:7SEGLED),FPGA 的相应IO 引脚和四位7 段数码管连接,7 段数码管可以正常工作;当DIP 开关全部拨到下方时(板上标示为:EXPORT5),FPGA 的相应IO引脚与7 段数码管断开,相应的FPGA 引脚用于外部IO 扩展。 注意:无论拨码开关断开与否,FPGA 的相应IO 引脚都是与外部扩展接口连接的,所 以当正常使用数码管时,不允许在该外部扩展接口上安装任何功能模块板。 数码管选通控制信号分别对应4 个数码管的公共端,当某一位选通控制信号为高电平时,其对应的数码管被点亮,因此通过控制选通信号就可以控制数码管循环依次点亮。一个数码管稳定显示要求的切换频率要大于50Hz,那么4 个数码管则需要50×4=200Hz 以上的切换频率才能看到不闪烁并且持续稳定显示的字符。 三.硬件电路设计 设计结构图如下:

七段共阴数码管电子钟PLC程序设计原理

七段共阴数码管电子钟PLC程序设计原理 控制要求: 1.用四个七段数码管分别显示“时十位”、“时个位”、“分十位”和“分个位”。 2.用两个发光二极管显示“秒闪烁”。 3.有“预置”和“校对”时间功能。 I/O分配: X0—运行开关,X1—预置按钮;Y0—A,Y1—B,Y2—C,Y3—D,Y4—E,Y5—F,Y6—G;Y7—“秒闪烁”指示;Y13—“时十位”显示,Y12—“时个位”显示,Y11—“分十位”显示,Y10—“分个位”显示。COM端接线:COM1和COM2(Y0—Y7所对应的公共端)接24V直流电源“+”极,COM3(Y10—Y13所对应的公共端)和COM接24V电源“-”极。 一、总体设计思想 为了减少输出点数和接线,可以将四个共阴数码管的阳极都用Y0—Y6来驱动,但让其依次轮班接通;四个数码管的阴极分别用Y10—Y13来同步控制其接通“-”极的时间,以期达到四个数码管轮番显示的目的。 二、具体设计过程 1.用两个定时器T0和T1产生秒脉冲,用Y7输出。 2.用计数器C0将秒脉冲变成分脉冲。 3.用位左移指令[SFTL]形成分个位左移码。 4.用位左移指令[SFTL]形成分十位左移码。 5.用位左移指令[SFTL]形成时个位左移码。 6.用位左移指令[SFTL]形成时十位左移码。 7.用位左移指令[SFTL]安排四个数码管轮番接通。 8.将四个位左移码分别译成七段数码管的字显示码,并考虑四个数码管轮番接通问题。 9.将字显示码用Y0—Y6输出。 个位编码表 由编码表可得逻辑表达式: M40=(M1+M3+M4+M6+M7+M8+M9+M10)M100 M41=(M1+M2+M3+M4+M5+M8+M9+M10)M100 M42=(M1+M2+M4+M5+M6+M7+M8+M9+M10)M100 M43=(M1+M3+M4+M6+M7+M9)M100

用7段数码管显示9秒倒计时

1 设计内容及目标 1.1设计题目 用七段LED数码管显示倒计时 1.2设计要求 (1)用8255控制七段LED数码管。 (2)可选:用8254定时器显示时间。 (3)可选:可通过开关控制暂停计时、继续计时或时间清零。 1.3设计目的 通过本学期对微机原理的学习,掌握的知识还停留在理论的上。但是这是一门实践性较强的课程,让学生在学完该课程之后,进行一次课程设计,使学生将课堂所学的知识和实践有机结合起来,初步掌握计算机应用系统设计的步骤和接口设计的方法,提高分析和解决实际问题的能力。通过设计实践,培养学生查阅专业资料,工具书或参考书,了解有关工业标准,掌握现代设计手段和软件工具,并能以图纸和说明书表达设计思想和结果的能力。通过设计,不但要培养和提高学生解决工程具体问题,动脑动手的技术工作能力,而且还要逐步建立科学正确的设计和科研思想,培养良好的设计习惯,牢固树立实事求是和严肃认真的工作态度。

2 设计原理 2.1设计思路 本次课程设计的题目是用七段LED数码管来显示倒计时。在这个设计中既要用到8255芯片,又要用到8254芯片对脉冲信号进行记数。设定初始值,每隔一秒,秒钟数字减一,每过60秒分钟个位减一。根据需要,需选择的芯片有8255、8259和8254。 2.2设计环境与器材 (1)PC微机一台。用于对程序的编写、编译和测试等,同时还需要对实验设备进行控制,提供整个程序的运行平台,并且收集和释放硬件信号,实现程序功能。 (2)微机原理实验箱一台。此设备必须能提供8254、8255、8259和数码管等必要芯片,并且能通过接受PC机传来的信息,显示出相应的功能,以支持电子时钟的实现。 (3)导线若干条。用于电路和芯片之间的连接。 2.3电路原理和主要芯片 2.3.1电路工作原理 首先利用程序硬性规定分、秒的起始时间为9。然后通过8254计时器分频,并将以分得的频率接通8259中断控制器,进而通过CPU响应可屏蔽中断达到按秒计时的效果。 具体做法是将四个七段管显示器接到两片可并行接口8255芯片上,以此来控制七段管显示器的位操作以及段操作。并为之在数据段开辟一处空间,专门存放将要显示的数位代码。8254计时器将由PC机给定的频率加以分频,得到的新频率加到8259中断控制器的中断请求输入端。主程序为此可屏蔽中断设置专门的中断向量码。CPU获取此中断向量码后转向待需解决的程序进行操作。而这个程序便是整个主程序的心脏。通过它将使倒计时的显示时间以秒为减位,达到秒、分的减少。 2.3.2 8254主要功能 8254 是Intel 公司生产的可编程间隔定时器。是8253 的改进型,比8253 具有更优良的性能。8254 具有以下基本功能: ●有3 个独立的16 位计数器; ●每个计数器可按二进制或十进制(BCD)计数;每个计数器可编程工作于6 种不同工作方式;每个计数器允许的最高计数频率为10MHz;

智能电表错误代码详解

智能电表错误代码详解 一、国网表故障代码说明: 故障提示显示方式如图所示: 异常名称异常类型异常代码常见故障解释说明备注 控制回路错误电表故障Err-01 当剩余金额为0元时,电表继电器断开,触 发控制开关断电。当开关或电表出现异常电 能表仍能继续用电时,当递减1kWh后,液 晶显示“ERR-01”;此时断电后,“ERR-01” 消失,再继续走1kWh后电表液晶显示 “ERR-01”,当用户购电后,会自动扣除透 支电费,“ERR-01”消失。 单相表规范已定义 ESAM错误电表故障Err-02 安全芯片ESAM出现故障,需更换ESAM或电 能表进行维修。 单相表规范已定义内卡初始化错误电表故障Err-03 时钟电池电压低电表故障Err-04 电池电压低,液晶有电池显示“”符号, 如果停电后,电表时间会丢失,此时需要更 换电能表。 单相表规范已定义 内部程序错误电表故障Err-05 无意义 存储器故障或损坏电表故障Err-06 时钟故障电表故障Err-08 时间错误,需要观察电表时间是否有问题。单相表规范已定义过载事件类异常Err-51 用户使用负荷大于的1.2倍的最大电流时, 电表轮显“Err-51” 电流严重不平衡事件类异常Err-52 对单相表无意义 过压事件类异常Err-53 电压大于1.15倍Un 功率因数超限Err-54 用户环境功率因数小于0.2,电表轮显“Err -54” 超有功需量报警事件事件类异常Err-55 有功电能方向改变 (双向计量除外) 事件类异常Err-56 进出线反了,会提示‘Err-56’,液晶有 “”闪烁。 认证错误IC卡相关提示Err-10 没有加密成功或远程更新密钥失败。单相表规范已定义ESAM验证失败IC卡相关提示Err-11 客户编号不匹配IC卡相关提示Err-12 用户卡或远程下发参数,用户号错,会提示。 充值次数错误IC卡相关提示Err-13 用户卡或远程下发参数时,购电次数错,会 提示。 购电超囤积IC卡相关提示Err-14 设置成“999999.99”为最大值,超购电囤 积(购电时如果:剩余金额+本次购电金额 >囤积进金额限值,则出现该提示。) 有液晶提示符号 现场参数设置卡对本表已经失效IC卡相关提示Err-15 连着多次对一只表插一张现场参数卡则第2 次就会出现该提示或者先插入一张现场 参数设置卡版本号大的卡,再插入一张比上

七段码电子表显示程序

1 .题目及要求 2. ............................. 功能设计 3. ............................. 详细设计 3. 1设计方法......... 3. 2流程图........... 3. 3重点,难点 ..... 4................................... 总结心得体会 5.参考文献 ... 6.附:源程序、、、、、、7

1.题目及要求 1.1 题目:七段码电子表显示程序 1. 2 要求 1.七段码电子表显示程序 2.菜单选择:设置时,分,秒,开始,退出等 3.模拟七段码管显示时,分,秒 4.要求界面美 2.功能设计 说明:esc键退出s键设置时间 数据段 (1)Disptime , showtime 显示时钟 (2)定义定义0-9 十个图形数字,以便模拟七段码显示时钟宏定义 (1)Clear 定义清屏宏 (2)rowcol 定义光标定位宏 (3)crlf 回车换行宏 (4)display 显示字符串宏 (5)change 计算时间宏 函数 (1) main 主函数 ( 2) play 主要完成显示七段码电子表显示 ( 3 ) prog0 到prog10 完成七段码到一般显示的转换 ( 4) moveshape 显示七段码电子表 ( 4) delay 延迟函数 ( 5 ) exit 返回DOS 函数 3.设计详细 3.1 设计方法 (1)Clears 清屏宏用到了BIOS 中断06H。 (2)rowcol 光标定位宏用到了BIOS 中断02H 。 (3)crlf 回车换行宏用到了DOS 中断的0ah, 0dh (4)display 显示字符串宏用到了DOS 中断的09h (5)change 计算时间宏用户自己定义的宏,方便以后使用(6) main 主函数主要调用子函数实现功能 (7)delayr 延迟函数用双重循环实现,根据不同机子设置不同的参数(8)exit返回DOS函数,也就是结束函数。调用int 21h中的04h (9)moveshape 显示七段码电子表函数。利用int 21h 中的09h 3. 2 流程图

七段码电子表显示程序

攀枝花学院 学生课程设计(论文) 题目:七段码电子表显示程序 学生姓名:文理 学号: 201010801068 所在院(系):计算机学院 专业:计算机科学与技术 班级: 2010级计本2班第二组 指导教师:李艳华职称:讲师 2011 年12 月31 日

攀枝花学院本科学生课程设计任务书 题目七段码电子表显示程序 1、课程设计的目的 通过本课程设计教学所要达到的目的是:1、培养学生理论联系实际的设计思想,提高学生综合运用汇编语言课程的理论知分析问题、解决问题的能力。2、通过查找、运用设计资料,完成工程设计所必备的基本训练。3、使同学们更深入的了解计算机系统内部的有关知识,为以后的学习和系统开发奠定良好的基础。 2、课程设计的内容和要求(包括原始数据、技术要求、工作要求等) 设计内容:用电子表的形式显示系统时间。以“时:分:秒”的形式显示时间。 设计思路:数字0~9中的每一个数字用“|”和“—”字符组成的“七段”码图形表示,时与分、分与秒之间的两点用“▼”字符表示。这些字符预先定义在数据段的字符图形里,利用BIOS INT 1AH的2号系统功能调用,读出计算机系统的时间(为BCD码),置换成字符图形标中的图形字符显示。 本程序设计的关键是随着系统时间的变化,用字符组成的图形数字如何进行相应的变化。方法是:不停地读取系统时间并保存在寄存器中,将每次取出的时间显示并与前一次比较,先比较“秒”值,在不同的情况下(系统时间发生了增1的变化),则调用相应的图形字符显示,在不超过60秒的情况下,重复比较秒,然后再比较和显示“分”值与“小时”值。 3、主要参考文献 [1]《汇编语言程序设计》,吴向军等,高等教育出版社,2002 [2]《IBM-PC汇编语言及程序设计》(第2版), 沈美明等,清华大学出版社,2001 [3]《IBM-PC汇编语言及程序设计实验教程》,沈美明等,清华大学出版社,2001 [4]《汇编语言程序设计》, 钱晓捷等,电子工业出版社,2000 [5]《汇编语言程序设计》, 张志明等,重庆大学出版社,1997 4、课程设计工作进度计划 时间(天)内容安排 1 分析设计准备 3 编程调试阶段 1 编写课程设计报告 指导教师(签字)日期年月日 教研室意见: 年月日 学生(签字): 接受任务时间:年月日

智能电表错误代码详解

智能电表错误代码详解 Prepared on 22 November 2020

智能电表错误代码详解 一、国网表故障代码说明: 故障提示显示方式如图所示: 异常名称异常类型异常代码常见故障解释说明备注 控制回路错误电表故障Err-01 当剩余金额为0元时,电表继电器断开, 触发控制开关断电。当开关或电表出现异 常电能表仍能继续用电时,当递减1kWh 后,液晶显示“ERR-01”;此时断电后, “ERR-01”消失,再继续走1kWh后电表液 晶显示“ERR-01”,当用户购电后,会自 动扣除透支电费,“ERR-01”消失。 单相表规范已定义 ESAM错误电表故障Err-02 安全芯片ESAM出现故障,需更换ESAM或 电能表进行维修。 单相表规范已定义内卡初始化错误电表故障Err-03 时钟电池电压低电表故障Err-04 电池电压低,液晶有电池显示“”符 号,如果停电后,电表时间会丢失,此时 需要更换电能表。 单相表规范已定义 内部程序错误电表故障Err-05 无意义 存储器故障或损坏电表故障Err-06 时钟故障电表故障Err-08 时间错误,需要观察电表时间是否有问 题。 单相表规范已定义 过载事件类异常Err-51 用户使用负荷大于的倍的最大电流时,电 表轮显“Err-51” 电流严重不平衡事件类异常Err-52 对单相表无意义 过压事件类异常Err-53 电压大于倍Un 功率因数超限Err-54 用户环境功率因数小于,电表轮显“Err- 54” 超有功需量报警事件事件类异常Err-55 有功电能方向改变(双向计量除外)事件类异常Err-56 进出线反了,会提示‘Err-56’,液晶有 “”闪烁。 认证错误IC卡相关提示Err-10 没有加密成功或远程更新密钥失败。单相表规范已定义ESAM验证失败IC卡相关提示Err-11 客户编号不匹配IC卡相关提示Err-12 用户卡或远程下发参数,用户号错,会提 示。 充值次数错误IC卡相关提示Err-13 用户卡或远程下发参数时,购电次数错, 会提示。 购电超囤积IC卡相关提示Err-14 设置成“”为最大值,超购电囤积(购电 时如果:剩余金额+本次购电金额>囤积 进金额限值,则出现该提示。) 有液晶提示符号 现场参数设置卡对本表已经失效IC卡相关提示Err-15 连着多次对一只表插一张现场参数卡则第2 次就会出现该提示或者先插入一张现场参 数设置卡版本号大的卡,再插入一张比上 次的版本号小的卡,就会出现该提示或者 现场参数卡的次数用光了。) 修改密钥错误IC卡相关提示Err-16 正式密钥下的ESAM,插入测试密钥下的 修改、密钥卡出现该错误。 单相表规范已定义未按铅封键IC卡相关提示Err-17 编程时,未按编程键 提前拔卡IC卡相关提示Err-18 插卡时,拔卡过快

八位七段数码管动态显示电路设计

八位七段数码管动态显示电路的设计 一七段显示器介绍 七段显示器,在许多产品或场合上经常可见。其内部结构是由八个发光二极管所组成,为七个笔画与一个小数点,依顺时针方向为A、B、C、D、E、F、G与DP等八组发光二极管之排列,可用以显示0~9数字及英文数A、b、C、d、E、F。目前常用的七段显示器通常附有小数点,如此使其得以显示阿拉伯数之小数点部份。七段显示器的脚位和线路图如下图4.1所示( 其第一支接脚位于俯视图之左上角)。 图4.1、七段显示器俯视图 由于发光二极管只有在顺向偏压的时候才会发光。因此,七段显示器依其结构不同的应用需求,区分为低电位动作与高电位动作的两种型态的组件,另一种常见的说法则是共阳极( 低电位动作)与共阴极( 高电位动作)七段显示器,如下图4.2所示。 ( 共阳极) ( 共阴极) 图4.2、共阳极(低电位动作)与共阴极(高电位动作)

要如何使七段显示器发光呢?对于共阴极规格的七段显示器来说,必须使用“ Sink Current ”方式,亦即是共同接脚COM为VCC,并由Cyclone II FPGA使接脚成为高电位,进而使外部电源将流经七段显示器,再流入Cyclone II FPGA的一种方式 本实验平台之七段显示器模块接线图如下图4.5所示。此平台配置了八组共阳极之七段显示器,亦即是每一组七段显示器之COM接脚,均接连至VCC电源。而每一段发光二极管,其脚位亦均与Cyclone II FPGA接连。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。 图4.5、七段显示器模块接线图 七段显示器之常见应用如下 可作为与数值显示相关之设计。 ?电子时钟应用显示 ?倒数定时器 ?秒表 ?计数器、定时器 ?算数运算之数值显示器

七段码显示汇编语言程序设计

课程设计任务书 学生姓名专业班级 指导教师黄珍工作单位自动化学院 课程设计题目七段码显示汇编语言程序设计 初始条件: 采用16位微处理器8086 CPU以及86系列微型计算机的指令系统; 软件设计平台为多功能微型计算机实验软件MFS中的微机原理实验集成环境MF2KP。 要求完成的主要任务: 设计汇编语言程序,实现七段码显示效果,具体功能要求如下:(1)数字0~9或A~F中的每个数字、字母用“¦”和“—”字符组成的“七段”码图形表示; (2)运行程序后,要求数字0~9或字母A~F依次循环显示; (3)单击“Q”或“q”键退出程序。。 根据功能要求绘制程序流程图、编写完整的汇编语言程序并上机调试: 撰写课程设计说明书。课程设计说明书的撰写格式如下: (1)设计说明书包括封面、任务书(指导老师提供)、正文、参考文献(3~5篇)、附录(程序清单,要求有注释)、成绩评定表(指导老师提供)。 (2)说明书正文包含总体方案论证(算法说明);程序流程图设计及其说明; 软件设计关键问题或程序段落的说明;程序调试说明、结果记录及分析; 课程设计收获及心得体会。 (3)同一选题若为合作完成,设计说明书中需注明本人承担的设计部分。 (本次课程设计时间:2011年1月10日-21日,历时两周) 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 总体方案论证 (1) 1.1 七段数码管显示功能分析 (1) 1.2 算法说明 (1) 2 程序流程图设计及其说明 (3) 2.1 程序流程图 (3) 2.2 程序流程图说明 (4) 3程序段落的说明 (5) 3.1 数据段定义 (5) 3.2 程序初始化 (5) 3.3 清空显示屏 (5) 3.4 重置光标 (6) 3.5 数码显示处理工作 (6) 3.6 取得计数并为下次循环准备 (6) 3.7 一个数字的数码管显示 (6) 3.8 显示程序 (7) 3.9 程序延时部分 (7) 3.10 判断键盘输入部分 (7) 3.11 结束DOS以及跳出语句 (8) 4 程序调试说明、结果记录及分析 (9) 5 课程设计收获及心得体会 (13) 参考文献 (14) 附录 (15)

七段数码管显示程序

附录A 八位七段数码管显示程序 library ieee; use led is port( clk : in std_logic; --Clock Signal data_in : in std_logic_vector(7 downto 0); --data bus ledag : out std_logic_vector(6 downto 0); --定义七位输出信号 sel : out std_logic_vector(2 downto 0) --ledag Select ); end led; -------------------------------------------------------------------- architecture behave of led is signal dcount : std_logic_vector(2 downto 0); signal adh,adl : std_logic_vector(6 downto 0); signal adcount : std_logic_vector(7 downto 0); signal din_h,din_l : std_logic_vector(3 downto 0); signal coclk : std_logic; begin process(clk) --out enable signal begin if(clk'event and clk='1') then if adcount="" then coclk<='1';

adcount<="00000000"; else adcount<=adcount+1; coclk<='0'; end if; end if; end process; process(clk) --rd the adc data begin if(clk'event and clk='1') then din_h<=data_in(7 downto 4); din_l<=data_in(3 downto 0); end if; end process; process(clk) begin case din_h is when "0000"=>adh<="0111111"; --display 0 when "0001"=>adh<="0000110"; --display 1 when "0010"=>adh<="1011011"; --display 2 when "0011"=>adh<="1001111"; --display 3 when "0100"=>adh<="1100110"; --display 4 when "0101"=>adh<="1101101"; --display 5 when "0110"=>adh<="1111101"; --display 6 when "0111"=>adh<="0000111"; --display 7

七段数码显示译码器

实验一七段数码显示译码器 1.实验目的: 设计七段显示译码器;学习Verilog HDL文本文件进行逻辑设计输入。 2.实验原理: 7段数码是纯组合电路,通常的小规模专用IC,如74或4000系列的器件只能作十进制BCD码译码,然而数字系统中的数据处理和运算都是2进制的,所以输出表达都是16进制的。为了满足16进制数的译码显示,利用Verilog译码程序在FPGA/CPLD中来实现。首先要设计一段程序,该程序可用case语句表述方法,根据真值表写出程序。首先完成7段BCD码译码器的设计。本实验中的7段译码管输出信号led7的7位分别接数码管的7个段,高位在左,低位在右。如当LED7输出为“1101111”时,数码管的7个段:a,b,c,d,e,f,g分别接1、1、1、1、0、1、1;接有高电平的段发亮,于是数码管显示“9”。 共阴数码管

七段译码器真值表 3.源程序: module LED(A,LED7S); input [3:0]A; output [6:0]LED7S; reg [6:0]LED7S; always @ (A) begin: LED case(A) 4'b0000: LED7S<=7'b0111111; 4'b0001: LED7S<=7'b0000110; 4'b0010: LED7S<=7'b1011011; 4'b0011: LED7S<=7'b1001111; 4'b0100: LED7S<=7'b1100110; 4'b0101: LED7S<=7'b1101101; 4'b0110: LED7S<=7'b1111101; 4'b0111: LED7S<=7'b0000111; 4'b1000: LED7S<=7'b1111111; 4'b1001: LED7S<=7'b1101111; 4'b1010: LED7S<=7'b1110111;

七段数码管显示实验

单片机实验报告

实验九七段数码管显示实验 一、实验目的 1.学习七段数码管的工作原理; 2.学习数码管与8051单片机的接口方法; 3.掌握动态扫描显示技术。 二、实验原理 如图所示,LED数码管由7个发光二极管组成,此外,还有一个圆点型发光二极管(在图中以dp表示),用于显示小数点。通过七段发光二极管亮暗的不 共阴极接法共阳极接法 图 同组合,可以显示多种数字、字母以及其它符号。LED数码管中的发光二极管共有两种连接方法: 1)共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法 2)共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮。 为了显示数字或符号,要为LED显示器提供代码,因为这些代码是为显示字形的,因此称之为字形代码。七段发光二极管,再加上一个小数点位,共计八段。因此提供给LED显示器的字形代码正好一个字节。若a、b、c、d、e、f、g、dp 8个显示段依次对应一个字节的低位到高位,即D0、D1、D2、D3、D4、D5、D6、D7,则用共阴极LED数码管显示十六进制数时所需的字形代码如表所示。 表共阴极LED数码管字形代码

字型 共阴极字形 代码 字型 共阴极字形代码 字型 共阴极字形 代码 0 3FH 6 7DH C 39H 1 06H 7 07H d 5EH 2 5BH 8 7FH E 79H 3 4FH 9 6FH F 71H 4 66H A 77H 灭 00H 5 6DH b 7CH 2、动态显示 按图(b )连接线路,通过交替选中LED1和LED0循环显示两位十进制数。七段数码管段码连接不变,位码驱动输入端S1、S0接8255A C 口的PC1、PC0,通过C 口的这两位交替输出1和0,以便交替选中LED1和LED0,从而实现两位十进制数的交替显示。请编程实现在两个LED 数码管上循环显示0099,程序流程图如图(b)所示。 (a) 静态显示程序流程图 (b) 动态显示程序流程图 图 十位数的段码至A 口 个位数的段码至A 口 开始 开始 返回DOS 返回DOS 延时并修改要显示的数字

LED BCD-七段码转换电路设计

课程设计任务书 学生姓名: xxx 专业班级:xxx 指导教师:xxx工作单位:xxx 题目: LED BCD-七段码转换电路设计 初始条件: 预装Windows XP操作系统的计算机多台,预先安装Quartus Ⅱ仿真应用软件平台,预先提供CPLD/FPGA实验开发板或实验箱,及其上资源使用说明书。先修课程:电路、电子设计EDA、电子技术基础等,主要涉及的知识点:门电路、组合逻辑电路、时序逻辑电路等。 要求完成的主要任务: 设计LED BCD-七段码转换电路 1、使用EDA工具 确定为Quartus Ⅱ(兼容MAXPLUSⅡ)或MAXPLUSⅡ; 2、使用硬件描述语言 确定为Verilog HDL或VHDL等其它硬件描述语言 时间安排: 第一阶段: 查阅相关资料 第二阶段: 课程设计 第三阶段: 撰写课程设计报告 第四阶段: 课程设计答辩 指导教师签名:年月日系主任(或责任教师)签名:年月日

LED BCD-七段码转换电路设计 1.概论 数码显示器,尤其是LED BCD -七段数码显示器,具有显示清晰、亮度高、使用电压低、寿命长的特点,Verilog HDL 和AHDL 是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation 公司开发,后者最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言。两种HDL (HDL:Hardware Discription Language )均为IEEE 标准。现在,VHDL 和 在电子电路中广泛应用。七段数码显示器就是用七个高低电平信号去驱动七段显示器中的七只发光二极管,从而显示计数。它有两种驱动方式:BCD 码驱动方式和直接驱动方式。七段码显示器根据七只发光二极管的公共端的不同分为共阴极和共阳极两种接法。共阴极BCD 码-七段数码显示转换器的七段显示器是以共阴极形式连接,它以BCD 码方式驱动数码管工作。它的功能是将四位二进制代码所表示的十进制数翻译成对应的七段显示码。转换器输入信号是D3D2D1D0四位BCD 码,输出是ABCDEFG 七个高低电平信号,用输出的信号去驱动七段显示器中的七只发光二极管。当选用七段显示器的公共端接法不同时,对应七段显示器的真值表不同。七段码是纯组合的逻辑电路,通常用小型专用的IC 门电路组成,数字输入与输出表达均为16进制,处理较复杂,而用FPGA/CPLD 来实现则较为简单。 MAXPLUS Ⅱ是作为世界上最大可编程逻辑器件的供应商之一的Altera 公司提供的FPGA/CPLD 开发集成环境。MAXPLUS Ⅱ界面友好,使用便捷,被誉为业界最易用易学的EDA 软件。在MAXPLUS Ⅱ上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程。MAXPLUS Ⅱ曾是应用应用广泛、备受欢迎的PLD 设计软件。 近年来,为适应微电子技术及其应用的飞速发展,Altera 公司推出了新的设计软件Quartus Ⅱ。作为一种可编程逻辑器件设计环境,Quartus Ⅱ以其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。它将设计、综合、布局、仿真验证和编程下载及第三方EDA 工具集成在一个无缝的环境中,可以进行系统级设计、嵌入式系统设计和可编程器件设计。Quartus Ⅱ支持多种编辑输入法,包括图形编辑输入法、VHDL 、Verilog HDL 和AHDL 的文本编辑输入法,符号编辑输入法以及内存编辑输入法。

相关主题
文本预览
相关文档 最新文档