当前位置:文档之家› EDA期末试卷及复习资料

EDA期末试卷及复习资料

EDA期末试卷及复习资料
EDA期末试卷及复习资料

EDA期末试卷

一、填空题

1.一般把EDA技术的发展分为 MOS时代、 CMOS

代和 ASIC 三个阶段。

2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。

3.EDA设计输入主要包括图形输入、 HDL文本输入和状态机输入。

4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。

5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。

6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。7.以EDA方式设计实现的电路设计文件,最终可以编程下载

到 FPGA 和 CPLD 芯片中,完成硬件设计和验证。8.MAX+PLUS的文本文件类型是(后缀名) .VHD 。

9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。

二、选择题:。

11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )

A.仿真器

B.综合器

C.适配器

D.下载器

12.在执行MAX+PLUSⅡ的(D )命令,可以精确分析设计电路输入与输出波形间的延时量。

A .Create default symbol B. Simulator

C. Compiler

D.Timing Analyzer

13.VHDL常用的库是(A )

A. IEEE

B.STD

C. WORK

D. PACKAGE 14.下面既是并行语句又是串行语句的是( C )

A.变量赋值

B.信号赋值

C.PROCESS语句

D.WHEN…ELSE 语句

15.在VHDL中,用语句(D )表示clock的下降沿。

A. clock’EVENT

B. clock’EVENT AND clock=’1’

C. clock=’0’

D. clock’EVENT AND clock=’0’

16. IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL 等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。D

A .瘦IP B.固IP C.胖IP D.都不是

17.综合是EDA设计流程的关键步骤,在下面对综合的描述中,

_________是错误的。D

A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;

B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;

C. 为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;

D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。

18 大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。

A. FPGA全称为复杂可编程逻辑器件;

B. FPGA是基于乘积项结构的可编程逻辑器件;

C. 基于SRAM的FPGA器件,在每次上电后必须进行一次配置;

D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。

19 进程中的信号赋值语句,其信号更新是___C____。

A. 按顺序完成;

B. 比变量更快完成;

C. 在进程的最后完成;

D. 都不对。

20. VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。B

A. 器件外部特性;

B. 器件的内部功能;

C. 器件的综合约束;

D. 器件外部特性与内部功能。

21.不完整的IF语句,其综合结果可实现________。A

A. 时序逻辑电路

B. 组合逻辑电路

C. 双向电路

D. 三态控制电路

22.子系统设计优化,主要考虑提高资源利用率减少功耗(即面积优化),以及提高运行速度(即速度优化);指出下列哪些方法是面积优化_________。B

①流水线设计②资源共享③逻辑优化④串行化⑤寄存器配平⑥关键路径法

A. ①③⑤

B. ②③④

C. ②⑤⑥

D. ①④⑥

23 下列标识符中,__________是不合法的标识符。B

A. State0

B. 9moon

C. Not_Ack_0

D. signall

24 关于VHDL中的数字,请找出以下数字中最大的一个:__________。A

A. 2#1111_1110#

B. 8#276#

C. 10#170#

D. 16#E#E1

25.下列EDA软件中,哪一个不具有逻辑综合功能:________。B

A. Max+Plus II

B. ModelSim

C. Quartus II

D. Synplify

三、EDA名词解释,写出下列缩写的中文(或者英文)含义:16.EDA: 电子设计自动化

17.VHDL和FPGA: 超高速硬件描述语言现场可编程门阵列18.元件例化

1. LPM 参数可定制宏模块库

2. RTL 寄存器传输级

3. UART 串口(通用异步收发器)

4. ISP 在系统编程

5. IEEE 电子电气工程师协会

6. ASIC 专用集成电路

7. LAB 逻辑阵列块

四、VHDL程序填空:(10分)

LIBRARY IEEE; -- 8位分频器程序设计

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY PULSE IS

PORT ( CLK : IN STD_LOGIC;

D : IN STD_LOGIC_VECTOR (7 DOWNTO 0);

FOUT : OUT STD_LOGIC );

END;

ARCHITECTURE one OF PULSE IS

SIGNAL FULL : STD_LOGIC;

BEGIN

P_REG: PROCESS(CLK)

VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);

BEGIN

IF CLK’EVENT AND CLK = ‘1’ THEN

IF CNT8 = "11111111" THEN

CNT8 := D; --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8

FULL <= '1'; --同时使溢出标志信号FULL输出为高电平

ELSE CNT8 := CNT8 + 1; --否则继续作加1计数

FULL <= '0'; --且输出溢出标志信号FULL为低电平

END IF;

END IF;

END PROCESS P_REG;

P_DIV: PROCESS(FULL)

VARIABLE CNT2 : STD_LOGIC;

BEGIN

IF FULL'EVENT AND FULL = '1' THEN

CNT2 <= NOT CNT2; --如果溢出标志信号FULL为高电平,D触发器输出取反

IF CNT2 = '1' THEN FOUT <= '1';

ELSE FOUT <= '0';

END IF;

END IF;

END PROCESS P_DIV;

END;

五、VHDL程序改错:

01 LIBRARY IEEE ;

02 USE IEEE.STD_LOGIC_1164.ALL ;

03 USE IEEE.STD_LOGIC_UNSIGNED.ALL;

04 ENTITY LED7CNT IS

05 PORT ( CLR : IN STD_LOGIC;

06 CLK : IN STD_LOGIC;

07 LED7S : OUT STD_LOGIC_VECTOR(6 DOWNTO 0) ) ;

08 END LED7CNT;

09 ARCHITECTURE one OF LED7CNT IS

10 SIGNAL TMP : STD_LOGIC_VECTOR(3 DOWNTO 0);

11 BEGIN

12 CNT:PROCESS(CLR,CLK)

13 BEGIN

14 IF CLR = '1' THEN

15 TMP <= 0;

16 ELSE IF CLK'EVENT AND CLK = '1' THEN

17 TMP <= TMP + 1;

18 END IF;

19 END PROCESS;

20 OUTLED:PROCESS(TMP)

21 BEGIN

22 CASE TMP IS

23 WHEN "0000" => LED7S <= "0111111" ;

24 WHEN "0001" => LED7S <= "0000110" ;

25 WHEN "0010" => LED7S <= "1011011" ;

26 WHEN "0011" => LED7S <= "1001111" ;

27 WHEN "0100" => LED7S <= "1100110" ;

28 WHEN "0101" => LED7S <= "1101101" ;

29 WHEN "0110" => LED7S <= "1111101" ;

30 WHEN "0111" => LED7S <= "0000111" ;

31 WHEN "1000" => LED7S <= "1111111" ;

32 WHEN "1001" => LED7S <= "1101111" ;

33 WHEN OTHERS => LED7S <= (OTHERS => '0');

34 END CASE;

35 END PROCESS;

36 END one;

在程序中存在两处错误,试指出,并说明理由:

提示:在MAX+PlusII 10.2上编译时报出的第一条错误为:Error:Line 15: File ***/led7cnt.vhd: Type error: type in waveform element must be “std_logic_vector”

第 15 行,错误:整数0不能直接赋值给TMP矢量

改正:TMP <= (OTHERS => ‘0’);

第 16 行,错误:ELSE IF 缺少一条对应的END IF语句

改正:将ELSE IF 改为关键字ELSIF

四、分析题:

分析下面的VHDL的源程序,说明设计电路的功能。

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity test1 is

port( clk: in std_logic;

j,k:in std_logic;

q,qn:out std_logic);

end test1;

architecture one of test1 is

signal q_temp:std_logic:='0';

signal jk:std_logic_vector(1 downto 0); begin

jk<=j&k;

process(j,k,clk)

begin

if clk'event and clk='0' then

case jk is

when"00" =>q_temp<=q_temp;

when"01" =>q_temp<='0';

when"10" =>q_temp<='1';

when"11" =>q_temp<=not q_temp;

when others=>q_temp<='X';

end case; end if;

q<=q_temp;

qn<=not q_temp;

end process;

end one;

20分析下图,说明功能并用VHDL实现此电路的功能

21.试用VHDL编写4-2线优先编码器

五、设计题:

22.用74ls161设计一个十进制计数器

23用VHDL设计1位全减器sub_1,要求列出真值表,写出表达式,画出原理图。

24.编写8位左移移位寄存器的VHDL源程序。设电路的并行数据输入端为D[7..0],并行数据输出端为Q[7..0],串行数据输入端为DSL,时钟输入端为CLK。LDN是预置控制输入端,当LDN=0时,

Q[7..0]=D[7..0]。CLRN是复位控制端,当CLRN=0时,移位寄存器被复位。

龙岩学院 2013~2014第一学期课程表最新

龙岩学院数学与计算机科学学院2013~2014学年第一学期课程表(五)A 备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房。 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、11计算机师、11计算机非师第15周停课一周进行网络管理与设计实践;11软工第15周停课一周进行网络与通信课程实践。 教务处二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、12计算机专升本第15周停课一周进行WEB应用开发课程设计;12计算机第16周停课一周进行数据结构课程设计;12软工1、2班第16周停课一周进行面向对 象课程设计。 教务处数计院 二○一三年九月

备注:1、东肖校区的计算机上机上课地点在综合楼信息区一层。上机课未标明地点的都在校公共机房. 2、各班形势政策课安排在周二下午(双)或晚上,具体安排由思政部负责;体育课(体育选项)具体安排由体育系负责安排并通知。 3、晚上上课时间19:00~19:45,19:55~20:40。 4、文虎楼教室门牌号用“文+3位数字”表示,同心楼(综合楼)教学区门牌号用“教+3位数字”表示,信息区门牌号用“信+3位数字”表示。 5、13计算机第16周停课一周进行程序设计基础课程设计;13计算机专升本第16周停课一周进行数据库系统原理课程设计 教务处数计院 二○一三年九月

EDA课程设计---流水灯设计

EDA课程设计流水灯设计

目录 一、摘要··3 二、流水灯设计目的··4 三、流水灯设计流程··4 四、流水灯设计程序··5 五、流水灯设计管脚分配··7 六、功能仿真图··8 七、原理图波形图··9 八、设计注意事项··10

九、课程设计总结··11 十、参考文献··12 十一、评分表··13 一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、

自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。

EDA技术实用教程-VHDL版课后答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL 程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12 答:IP核具有规范的接口协议,良好的可移植与可测试性,为系统开发提供了可靠的保证。 第二章 2-1 叙述EDA的FPGA/CPLD设计流程。P13~16 答:1.设计输入(原理图/HDL文本编辑);2.综合;3.适配;4.时序仿真与功能仿真;5.编程下载;6.硬件测试。 2-2 IP是什么?IP与EDA技术的关系是什么? P24~26 IP是什么? 答:IP是知识产权核或知识产权模块,用于ASIC或FPGA/CPLD中的预先设计好的电路功能模块。 IP与EDA技术的关系是什么?答:IP在EDA技术开发中具有十分重要的地位;与EDA技术的关系分有软IP、固IP、硬IP:软IP是用VHDL等硬件描述语言描述的功能块,并不涉及用什么具体电路元件实现这些功能;软IP 通常是以硬件描述语言HDL源文件的形式出现。固IP是完成了综合的功能块,具有较大的设计深度,以网表文件的形式提交客户使用。硬IP提供设计的最终阶段产品:掩模。 2-3 叙述ASIC的设计方法。P18~19 答:ASIC设计方法,按版图结构及制造方法分有半定制(Semi-custom)和全定制(Full-custom)两种实现方法。 全定制方法是一种基于晶体管级的,手工设计版图的制造方法。 半定制法是一种约束性设计方式,约束的目的是简化设计,缩短设计周期,降低设计成本,提高设计正确率。半定制法按逻辑实现的方式不同,可再分为门阵列法、标准单元法和可编程逻辑器件法。 2-4 FPGA/CPLD在ASIC设计中有什么用途? P16,18 答:FPGA/CPLD在ASIC设计中,属于可编程ASIC的逻辑器件;使设计效率大为提高,上市的时间大为缩短。 2-5 简述在基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具,及其在整个流程中的作用。 P19~23答:基于FPGA/CPLD的EDA设计流程中所涉及的EDA工具有:设计输入编辑器(作用:接受不同的设计输

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

《EDA技术及应用》朱正伟-三,四,五章部分课后题答案

第三章 3-5设计一个4选1多路选择器,当选择输入信号分别取“00”、“01”、“10”和“11”时,输出信号分别与一路输入信号相连。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MAX4_1 IS PORT(A,B,C,D,S1,S2 : IN STD_LOGIC; Y : OUT STD_LOGIC); END ENTITY MAX4_1; ARCHITECTURE HF1 OF MAX4_1 IS SIGNAL SS : STD_LOGIC_VECTOR (0 TO 1); BEGIN SS<=S2&S1; PROCESS(SS) BEGIN CASE SS IS WHEN "00" => Y<=A; WHEN "01" => Y<=B; WHEN "10" => Y<=C; WHEN "11" => Y<=D; WHEN OTHERS => NULL; END CASE; END PROCESS; END HF1; 3-6设计一个7人表决电路,参加表决者7人,同意为1,不同意为0,同意者过半则表决通过,绿指示灯亮;表决不通过则红指示灯亮。设计思路:根据7人表决电路设计要求,7人中至少有4个通过才可以表决通过,故可以在程序中设置一个变量TEMP,使其在表决电路中遇1则加1,遇0则加0(设计中1表示通过,0表示不通过)。当TEMP>=4时,表示表决通过,当TEMP<4时表决不通过。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY VOTE7 IS PORT (MEN:IN STD_LOGIC_VECTOR(6 DOWNTO 0); OUTPUT: OUT BIT); END VOTE7; ARCHITECTURE BEHA VE OF VOTE7 IS BEGIN PROCESS(MEN) V ARIABLE TEMP: INTEGER RANGE 0 TO 7; BEGIN TEMP:=0; FOR I IN 0 TO 6 LOOP IF(MEN(I)='1')THEN TEMP:=TEMP+1;

龙岩学院学生素质综合测评细则(

龙岩学院学生素质综合测评细则 一、思想品德素质测评(15分) 评分方式:自评(10%),十人小组评分(20%)导师评分(70%) 评分细则:十人评分小组(由班长,团支书,学习委员,以及个宿舍宿舍长组成)给每位同学评分,去掉一个最高分、去掉一个最低分,取余下分数的 平均分就是该同学该栏目的得分。(各班级可以另外再找三个可靠且 诚实正直的同学担任工作人员,十人评分小组只要负责对该同学进行 全盘思索以期给予最公正、客观的分数就可以了。) 评分要求:1、对各位同学的“政治素质”、“品德修养”、“学习态度”、“法纪观念”及“劳动实践”五个部分进行综合评分,详细参照学生手册53 页;(好20-19分、一般19-17分、较差17-14分、差14-10分) 2、受到警告以上处分的同学,十人评分小组成员给其打的分数应在 “差(10分以下)”。 3、一学期累计扣分达6分以上的同学,思想品德素质测评应为差; 二、体育素质测评(10分) 。评分要求:1、一般同学起评分为8分;(体育成绩优秀6分,良5.5分,中5分,及格4.5分,不及格4分。竞赛分4分。) 注:班级成员有无参加体育竞赛及获奖情况请体育委员统计。 三、能力素质测评(15分) (1)社会工作能力素质分(5分)(起评分2.5分) 评分要求: 1、积极主动地协助教师、学生干部开展工作或协助组织集体活动的一般同学,可根据工作表现、工作能力、工作效果,酌情评定社会工作能力分0--2分。(由班长和辅导员打分) 2、对于班、院、校干的打分,做两个或多个职务的同学可以累积加分,(即最高职务分+另一职务的1/2)但全部分数加起来超过5分的以5分计。具体如下:(校、院一致) 学生会主席、团委办公室主任、团委副书记加2.5分 副主席、主席助理、办公室副主任、各部部长、青年志愿者协会会长、贴心服务社社长加2分 各部副部长加1.5分 全体普通干事加1分 (班级) 班长、团支书、学习委员加2.5分 组宣科委员、生劳委员、体育委员、心理保健员、文艺委员、舍长加 1分

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

《EDA技术及应用》全套教学教案

单元一教学设计 教学内容: 单元一EDA技术 学习任务1 EDA技术 一、认识课程 二、认识EDA技术 三、认识EDA技术的基本特征 学习任务2 可编程逻辑器件芯片 一、认识可编程逻辑器件 二、CPLD基本结构 三、FPGA基本结构 四、Altera公司的可编程逻辑器件汇报总结 评价与考核

教学设计与建议 教学设计:通过学习和查阅资料了解EDA技术,了解EDA技术的基本特征,并熟悉可编程逻辑器件的种类。了解CPLD和FPGA基本结构,熟悉 Altera公司的可编程逻辑器件。 教学建议:建议学生查找EDA技术发展与可编程逻辑器件应用相关资料,进行总结制作PPT,并进行汇报。 知识目标: 1.了解EDA技术 2.了解EDA技术的基本特征 3.了解可编程逻辑器件的种类 4.了解CPLD基本结构 5.了解FPGA基本结构 6.了解Altera公司的可编程逻辑器件 教学重点及难点: 教学重点:可编程逻辑器件种类 教学难点:熟悉Altera公司的可编程逻辑器件 教学载体与资源: 教学资源:教材、PPT、实训室、多媒体设备。 教学方法建议: 讲授与讨论相结合,查阅资料总结汇报。 教学过程: 1.下达任务和要求 2. 教师带领学生共同解析任务 3.学生展开讨论

4.学生查阅资料 5. 总结汇报 考核评价: 1.根据知识掌握情况评价 2.根据资料查找能力和小组汇报情况评价 教学板书: 任务1:EDA技术 认识课程 1.EDA技术是什么? 2.为什么学习EDA技术? 3.EDA技术学什么? 4.EDA技术怎么学? 相关知识 1.认识EDA技术 EDA(Electronic Design Automation,电子设计自动化)技术是帮助电子设计工程师在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至PCB(印制电路板)的自动设计等。 2.认识EDA技术的基本特征 (1)“自顶向下”设计方法 (2)硬件描述语言 (3)逻辑综合和优化 (4)开放性和标准化 (5)库的引入

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

《龙岩学院专业技术职务聘期考核管理办法(试行)》

岩学院人〔2014〕59号 关于印发《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》的通知 各单位: 现将《龙岩学院教师等专业技术职务聘期考核管理办法(试行)》印发给你们,请遵照执行。 附件:1.龙岩学院高校教师类专业技术职务聘期考核基本要求 2.龙岩学院实验技术类专业技术职务聘期考核基本要求 3.龙岩学院图书资料、档案、出版专业类和公共服务类 专业技术职务聘期考核基本要求 龙岩学院 2014年12月23日

龙岩学院教师等专业技术职务聘期 考核管理办法(试行) 根据《福建省人民政府关于进一步支持高校加快发展的若干意见》(闽政〔2012〕47号)、《福建省高校教师等专业技术职务聘任制实施办法(试行)》(闽人〔2012〕206号)和《龙岩学院教师等专业技术职务聘任制实施方案(试行)》等文件精神,结合我校实际,制定本办法。 一、指导思想与基本原则 (一)指导思想 以邓小平理论、“三个代表”重要思想和科学发展观为指导,进一步深化我校人事制度改革,实行教师等专业技术职务聘任考核管理制度,形成职务能上能下、待遇能高能低,有利于优秀人才脱颖而出,人尽其才、充满活力的用人机制。 (二)基本原则 教师等专业技术职务聘期考核管理应遵循如下原则: 1.在公平、公正、公开的环境下进行的原则; 2.有利于完成办学任务和提高教学质量、提升科研和服务社会水平的原则; 3.根据岗位制定不同类别专业技术职务和岗位的考核要求的原则; 4.肯定教师的历史贡献,对具有高级专业技术职务接近退休的教师给予有条件保障的原则; 5.严格按照工作任务要求进行考核管理的原则。 二、实施范围与对象 1.聘任在教师等专业技术职务的人员; 2.具有由省级主管部门组织评审的专业技术职务资格但未

EDA课程设计

课程设计说明书 课程:EDA技术基础 题目:数字钟的设计 闹钟与整点报时模块 学生姓名:XXX 学号201265110204 班级 :1203班 专业:电子信息与科学 指导教师:XXX 2014年12月20日 长沙理工大学课程设计任务书 物理与电子科学学院电子信息与科学专业1203班姓名王玲课程名称EDA技术基础 题目数字钟的设计

长沙理工大学课程设计成绩评定表

目录 1数字钟设计闹钟模块基本任务要求4 2设计思想4 3简述闹钟模块的输入与输出5 4分进程描述6 5仿真结果与分析7 6简述数字钟的设计总成果10 7总结11 参考文献13 代码附件13

基本任务要求:运用QuartusⅡ13.1软件平台,用VHDL语言描述并设计的闹钟模块满足可调闹钟时间,当时钟时间到达闹钟时间后会响闹铃,(由于实验室权限问题会以FPGA开发板上12个LED灯交替发光来表现);整点报时过程表现为整点的前十秒内响铃,(以FPGA开发板上一个LED灯交替发光来实现)。 设计思想:闹钟模块要以分频模块、计数器模块和译码显示模块为基础,将闹钟模块分为三个进程,一个进程用来实现调闹钟,一个进程来实现闹响闹钟(即实现LED灯交替发光),另一个进程来是实现整点报时。 (上面截图为数字钟整体编译后的RTL电路的闹钟模块) 简述闹钟模块图的输入与输出:上图中的输入粗黑实线为计数器模块输出的小时、分钟的高低位和秒钟的高位(都用四位的二进制表示);输出的粗黑实线为定的闹钟时间(包括小时和分钟),将送到译码显示模块显示闹钟时间,闹钟时间与时钟都在FPGA上的数码管显示,用二选一实现交替显示。clk和clk1都是经过分频器分出的不同频率的信号分别用于整点报时的闪灯脉冲与闹钟调时、闹响的闪灯脉冲。

eda技术的应用及发展

EDA技术的应用 摘要:随着科技的不断进步与发展,EDA (Electronic Design Automation)即电子设计自动化技术与我们的生活的交集越来越密切。随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。本文简单的介绍EDA技术的发展应用及在未来的发展。 关键字:EDA,技术,应用, Abstract:With the advancement and development of technology,( Electronic Design Automation ) electronic design automation technology and our life is more and more close intersection. With microelectronic technology and the continuous development of computer technology, communication, national defense, involved in aerospace, industrial automation, instrumentation and other areas of work, EDA technical content is increasing at an alarming speed, so as to make it become the forefront of the development of electronic technology. This paper briefly introduced the development of EDA technology, application, and the future. Key world: EDA ,development , 一、前言 1·随着微电子技术和计算机技术的不断发展,在涉及通信、国防、航天、工业自动化、仪器仪表等领域工作中,EDA技术的含量以惊人的速度上升,从而使它成为当今电子技术发展的前沿之一。现代电子产品的性能提高、集成度和精密度不断的增加,电子产品更新换代的节奏越来越快。采用传统的电子设计方法设计电路越来越困难,EDA 技术提高了电路的设计效率和可靠性,减少了劳动强度,给电子系统设计带来了革命性的变化。 2·EDA:EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL(Hardware Description language)完成的设计

EDA课程设计流水灯设计

EDA课程设计 流水灯设计 姓名: 金兼强 专业: 电子信息工程 班级: 093252 学号: 09325211 同组人:黄奕林简讯 黄树金兼强黄玉涛 指导老师:黄河 2012-11-9

目录 一、摘要〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃3 二、流水灯设计目的〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 三、流水灯设计流程〃〃〃〃〃〃〃〃〃〃〃〃〃〃4 四、流水灯设计程序〃〃〃〃〃〃〃〃〃〃〃〃〃〃5 五、流水灯设计管脚分配〃〃〃〃〃〃〃〃〃〃7 六、功能仿真图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃8 七、原理图波形图〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃9 八、设计注意事项〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃10 九、课程设计总结〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃11 十、参考文献〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃12 十一、评分表〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃〃 13

一、摘要 随着EDA技术发展和应用领域的扩大与深入,EDA技术在电子信息、通讯、自动控制及计算机应用等领域的重要性突出。随着技术市场与人才市场对EDA 的需求不断提高,产品的市场需求和技术市场的要求也必然会反映到教学领域和科研领域中来。因此学好EDA技术对我们有很大的益处。EDA是指以计算机为工具,在EDA软件平台上,根据设计社描述的源文件(原理图文件、硬件描述语言文件或波形图文件),自动完成系统的设计,包括编译、仿真、优化、综合、适配(或布局布线)以及下载。 流水灯是一串按一定的规律像流水一样连续闪亮,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,但对现代可编程控制器而言,基于EDA技术的流水灯设计也是很普遍的。 课程设计主要的目的是通过某一电路的综合设计,了解一般电路综合设计过程、设计要求、应完成的工作内容和具体的设计方法、通过设计也有助于复习、巩固以往的学习内容、达到灵活应用的目的。在设计完成后,还要将设计的电路进行安装、调试以加强学生的动手能力。在此过程中培养从事设计工作的整体观念。 课程设计应强调以能力培养为主,在独立完成设计及制作任务同时注意多方面能力的培养与提高,主要包括以下方面: ·独立工作能力和创造力。 ·综合运用专业及基础知识,解决实际工程技术问题的能力。 ·查阅图书资料、产品手册和各种工具书的能力。 ·写技术报告和编制技术资料的能力。 ·实际动手能力。 利用学到的电子技术知识,通过布置具有一定难度的设计题目,帮助学生熟悉课程设计任务和设计方法。

EDA课后答案(适用于《EDA技术及应用》)

1.1、设计集成计数器74161,设计要求如下: 4-BIT BINARY UP COUNTER WITH SYNCHRONOUS LOAD AND ASYNCHRONOUS CLEAR NOTE INPUTS: CLK LDN CLRN D C B A OUTPUTS:QD QC QB QA RCO *RCO = QD & QC & QB & QA LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY CNT4 IS PORT( CLK,LDN,CLRN : IN STD_LOGIC; D,C,B,A : IN STD_LOGIC; CARRY : OUT STD_LOGIC; QD,QC,QB,QA : OUT STD_LOGIC ); END; ARCHITECTURE A OF CNT4 IS SIGNAL DATA_IN: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN DATA_IN<=D&C&B&A; PROCESS(DATA_IN,CLK,LDN,CLRN) VARIABLE CNT:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN IF CLRN='0' THEN CNT:=(OTHERS=>'0'); ELSIF CLK'EVENT AND CLK='1' THEN IF LDN='0' THEN CNT:=DATA_IN; ELSE CNT:=CNT+1; END IF; END IF; CASE CNT IS WHEN "1111"=> CARRY<='1'; WHEN OTHERS=> CARRY<='0'; END CASE; QA<=CNT(0); QB<=CNT(1); QC<=CNT(2); QD<=CNT(3); END PROCESS; END A;

龙岩学院关于修订各专业培养方案的指导意见

龙岩学院关于修订各专业培养方案的指导意见培养方案是高等教育人才培养模式的具体化,是人才培养的重要蓝图,是课程体系改革的体现,是培养学生素质和提升学生专业水平的框架,是保证教学质量的基础,是组织教学过程、安排教学任务、规定学习任务的根本依据,是学校教学管理和教学运行的重要文本。为更好地适应高等教育的改革与发展,根据教育部《关于普通高校修订本科专业培养方案的原则意见》和福建省教育厅《福建省高等学校教学常规管理规范》的精神,结合我校的具体情况,现按学分制教学管理的要求,对我校各专业培养方案的修订工作提出以下意见: 一、指导思想 专业培养方案的修订工作要以邓小平理论和“三个代表”的重要思想、科学发展观为指导,全面体现“教育要面向现代化、面向世界、面向未来”的时代精神,坚定不移地贯彻落实党的教育方针,遵循高等教育、教育工作的基本规律,以21世纪高等教育发展为先导,积极地吸收高等教育在教育思想与教育观念、教学内容与课程体系、教学方法与教学手段等方面取得的成果,以改革和创新的精神,构建适应社会人才多元化和学生发展多元化需要的人才培养体系,培养德、智、体、美全面发展的专门人才。师范类专业毕业的学生还必须具有现代教育理念,懂得教育学、心理学基本知识,掌握现代教育技术,适应基础教育改革和发展的需要。 二、基本原则 (一)培养方案的修订要坚持知识、能力、素质协调发展和综合提高的原则,使学生在德、智、体、美等方面得到更好的全面发展,重点是要为学生构设一个合理的知识、能力、素质结构。为此,第一、在知识、能力、素质的关系上,要强调加强学生的全面素质培养,要在重视知识传授的基础上,大力加强学生获取知识、提出问题、分析问题和解决问题的能力培养,要将知识、能力内化为素质;第二、在基础教学与专业教学的关系上,要强调拓宽基础教学的内涵,改变教育内容偏窄、偏专的倾向,要加强包括自然科学基础和人文科学基础在内的基础知识、基本理论、基本技能的教学和基本素质的培养,采取多种形式加强文化素质教育,使学生通过学习能够构建起可适应终身教育及社会发展变化需要的知识、能力结构和基本素质;第三、要加强和改进培养学生创造性思维的教学环节,把培养学生创新思维能力融合于教学的全过程之中。 (二)培养方案的修订要充分体现整体优化的原则,科学地处理好各教学环节的关系。首先,要进行课程整合,根据培养目标构建融会贯通、紧密配合、有机联系的课程体系,改变内容陈旧、分割过细和简单拼凑的状况,避免脱节和不必要的重复,防止“因人设课”和“因无人而不设课”的情况出现,加强同类专业课程内容和体系上统筹和协调;其次,要处理好理论教学与实践教学的关系,加强教学科研和社会实践的有机结合,丰富实践教学内容、方式和途径。第三、要处理好课内教学和课外指导的关系,改变单纯重视课堂教学的倾向,通过

EDA课程设计说明书参考格式

<>课程设计说明书 题目 院、部: 学生姓名: 指导教师:王晓丽职称助教 专业: 班级: 完成时间:

摘要(三号,黑体,居中,字间空两格字符) (空二行换行) 空4格打印摘要内容(小四号宋体,行距20)。 关键词:(摘要内容后下空一行打印“关键词”三字(小四号黑体),其后为关键词(小四号宋体),每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 ABSTRACT ①居中打印“ABSTRACT”,再下空二行打印英文摘要内容。②摘要内容每段开头留四个空字符。③摘要内容后下空一行打印“Key words”,其后为关键词用小写字母,每一关键词之间用分号隔开,最后一个关键词后不打标点符号。 Key words :aaa;bbb;ccc

目录(3号,黑体,居中) (空1行,以小4号黑体设置字体及大小,行间距22、字间距标准) 1 XXXXXX………………………………………………………………………… 1.1 XXXXXX……………………………………………………………………… 1.2 XXXXXX……………………………………………………………………… ┇ 2 XXXXXX………………………………………………………………………… 2.1 XXXXXX……………………………………………………………………… 2.2 XXXXXX……………………………………………………………………… ┇ 3 4 结束语 参考文献………………………………………………………………………………. 致谢……………………………………………………………………………………附录……………………………………………………………………………………

EDA课程设计参考题目

附录I EDA课程设计参考题目 注:在以下设计中只可以用一个参考时钟 一、设计数码管显示控制器 要求: 1.能自动一次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环; 2.打开电源自动复位,从自然数列开始显示。 二、设计乒乓球游戏机 要求: 1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍; 2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分; 3.甲、乙各有一数码管计分; 4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。 三、设计智力竞赛抢答器 要求: 1.五人参赛每人一个按钮,主持人一个按钮,按下就开始; 2.每人一个发光二极管,抢中者灯亮; 3.有人抢答时,喇叭响两秒钟; 4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。 四、设计数字钟 要求: 1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频) 2.能显示时、分、秒,24小时制; 4.时和分有校正功能; 5.整点报时,喇叭响两秒; 6.可设定夜间某个时段不报时; 注意:硬件资源的节约,否则器件内资源会枯竭。 五、设计交通灯控制器 要求: 1.东西方向为主干道,南北方向为副干道; 2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换; 4.换向时要有4秒的黄灯期;

EDA技术应用及发展前景介绍

EDA技术应用及发展前景介绍 EDA技术应用及发展前景介绍 电子设计技术的核心就是EDA技术,EDA是指以计算机为工作平台,融合应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作,即IC设计、电子电路设计和PCB设计。EDA技术已有30年的发展历程,大致可分为三个阶段。70年代为计算机辅助设计(CAD)阶段,人们开始用计算机辅助进行IC版图编辑、PCB布局布线,取代了手工操作。80年代为计算机辅助工程(CAE)阶段。与CAD相比,CAE除了有纯粹的图形绘制功能外,又增加了电路功能设计和结构设计,并且通过电气连接网络表将两者结合在一起,实现了工程设计。CAE的主要功能是:原理图输入,逻辑仿真,电路分析,自动布局布线,PCB后分析。90年代为电子系统设计自动化(EDA)阶段。 一、EDA技术的基本特征 EDA代表了当今电子设计技术的最新发展方向,它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路(ASIC)实现,然后采用硬件描述语言(HDL)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。下面介绍与EDA基本特征有关的几个概念。 1.“自顶向下”的设计方法10年前,电子设计的基本思路还是选用标准集成电路“自底向上”地构造出一个新的系统,这样的设计方法就如同一砖一瓦建造金字塔,不仅效率低、成本高而且容易出错。 高层次设计是一种“自顶向下”的全新设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后,用综合优化工具生成具体门电路的网络表,其对应的物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这既有利于早期发现结构设计上的错误,避免设计工作的浪费,又减少了逻辑功能仿真的工作量,提高了设计的一次成功率。 2.ASIC设计现代电子产品的复杂度日益提高,一个电子系统可能由数万个中小规模集成电路构成,这就带来了体积大、功耗大、可靠性差的问题。解决这一问题的有效方法就是采用ASIC芯片进行设计。ASIC按照设计方法的不同可分为全定制ASIC、半定制ASIC和可编程ASIC(也称为可编程逻辑器件)。 设计全定制ASIC芯片时,设计师要定义芯片上所有晶体管的几何图形和工艺规则,最后将设计结果交由IC厂家去进行掩模制造,做出产品。这种设计方法的优点是芯片可以获得最优的性能,即面积利用率高、速度快、功耗低,而缺点是开发周期长,费用高,只适合

龙岩学院授予学士学位工作实施细则(试行)

龙岩学院授予学士学位工作实施细则(试行)(2009.9.1修订) 时间:2009-10-13 10:23:43 来源:龙岩学院教务处阅读832次 (二OO九年九月一日修订) 为做好我校学士学位授予工作,保证学士学位授予质量,根据《中华人民共和国学位条例》和《福建省普通高等学校学士学位授予工作暂行办法》,结合我校实际,特制定本细则。 一、学位评定委员会的组成: 校学位评定委员会由十五至二十五人组成,每届任期三年,设主席一人,副主席若干人,委员会成员原则上由具有副高以上(含副高)职称的人员组成。各院(系)成立学位评定分委员会,学位评定分委员会由五至九人组成,每届任期二年,设主席一人,副主席二人,委员会成员原则上由具有副高以上(含副高)职称的人员组成,分委员会主席必须由校学位评定委员会委员担任。 二、校学位评定委员会的职责: 1、根据学位条例的规定,审议学士学位授予专业。 2、审批各院(系)学位评定分委员会成员名单。 3、审查通过学士学位获得者名单。 4、处理授予学士学位工作中的争议和其他事项。 三、院(系)学位评定分委员会职责: 1、审批毕业论文(设计)等答辩委员会成员名单。

2、按学位授予条件对本科毕业生的政治思想表现、课程成绩、毕业实习(教育实习)和毕业论文(设计)等进行审查,提出拟授予学士学位的学生名单和拟不授予学士学位的学生名单。 3、向校学位评定委员会反映有关授予学位的争议问 题,并提 出处理意见。 4、处理校学位评定委员会授权办理的有关事项。 四、校学位评定委员会下设办公室,负责学位评定委员会的有关工作,办公室设在教务处。 五、授予学士学位的条件: 1、本科毕业的学生,拥护中国共产党的领导,遵纪守法,遵守学术道德规范。 2、较好地掌握本门学科的基础理论、专业知识和基本技能,具有从事教学、科学研究或担负专业技术工作的初步能力。 3、毕业实习(教育实习)、毕业论文(设计)的成绩达中等(含中等)以上。 六、有下列情形之一者,不授予学位: 1、因考试违纪受到留校察看处分者; 2、经重修才获得的学分超过28学分者。 七、学士学位审批按以下程序进行: 1、各院(系)学位评定分委员会根据授予学士学位授

相关主题
文本预览
相关文档 最新文档