当前位置:文档之家› 北交大电设实验报告

北交大电设实验报告

北交大电设实验报告
北交大电设实验报告

国家电工电子实验教学中心

电子系统课程设计

设计报告设计题目:摩斯电码传输系统

学院:电信学院

专业:

学生姓名:

学号:

任课教师:马庆龙

2015 年 4 月26 日

目录

目录

1 设计任务要求 (1)

2 设计方案及论证 (2)

2.1 任务分析 (2)

2.2 方案比较 (2)

2.3 系统结构设计 (4)

2.4 具体电路设计 (4)

3 制作及调试过程 (11)

3.1 制作与调试流程 (11)

3.2 遇到的问题与解决方法 (11)

4 系统测试 (11)

4.1 测试方法 (11)

4.2 测试数据 (12)

4.3 数据分析和结论 (13)

5 系统使用说明 (13)

5.1 系统外观及接口说明 (13)

5.2 系统操作使用说明 (14)

6 总结 (14)

6.1 本人所做工作 (14)

6.2 收获与体会 (15)

6.3 对本课程的意见与建议 (15)

7 参考文献 (15)

1 设计任务要求

(写明题目任务要求,可复制题目原文。)

本题目要求设计并制作一套如图 1 所示的摩斯电码通信系统。系统由发报机和收报机两部分构成。发报机可以以人工或自动方式将数字报文转换为摩斯电码,并通过扬声器以声音信号的形式发送出去;收报机在接收到发报机发出的声音电码信号后,将其转换为指示灯发光信号,并能自动翻译为原始数字报文通过数码管或液晶显示器显示。

1. 基本部分

(1)发报机具有人工发报功能。当按下发报机上的“发报电键”时,发报机能通过扬声器发出特定的声音信号,同时“发报指示灯”点亮;抬起“发报电键”后,

扬声器停止发声,同时“发报指示灯”熄灭。发报机所发声音信号应为频率为 1kHz (偏差不超过±10Hz),且无明显失真的单频正弦波。

(2)收报机在接收到发报机发出的 1kHz 声音信号时,“收报指示灯”点亮,无 1kHz 声音信号时,“收报指示灯”熄灭。收报机应仅对频率为 1kHz 的声音信号敏感,

其他频率的声音信号无效,有效信号频率范围不超过 1kHz±80Hz。

(3)发报机与收报机之间的有效通信距离应不小于 30cm。

(4)发报机与收报机均采用直流+5V 单电源供电,发报机与收报机不得共用同一电源。

2. 提高部分

(1)发报机具有自动编码功能。当按下发报机上的任意一个“数字发报键”时,发报机可自动发送一组该数字所对应的摩斯电码。发报速度应在 100~300 毫秒/时间

单位范围内。

(2)收报机具有一位数字报文自动解码显示功能。当收报机接收到发报机以人工或自动方式发送的一位数字摩斯电码后,可自动将其翻译为原始数字报文,并在数

码管或液晶显示器上显示出来。

(3)发报机具有 ID 一键发送功能。当按下发报机上的“ID 发送键”后,发报机自动连续发送一组由 8 位数字构成的 ID(应设定为设计者本人学号)。

(4)收报机具有连续数字报文自动解码显示功能。当收报机接收到发报机以人工或自动方式连续发送的一串摩斯电码(不少于 8 位数字,如发报机 ID)时,可显示

发送的数字报文内容(允许仅使用一位数码管自动连续逐位显示)。

2 设计方案及论证

2.1 任务分析

(含文字说明及理论计算,应明确各项功能和指标要求的含义及理论实现方法。)

由任务设计要求可知,该系统由两部分组成,发报部分和收报部分。发报机所发声音信号应为频率为1kHz(偏差不超过±10Hz),且无明显失真的单频正弦波。所以我们可以用文氏振荡器或方波加滤波电路实现,数字发报功能我们可以用51单片机控制。收报机主要由两大部分组成,前置放大器和锁相环音频解调电路。前置放大器可由op放大器的话筒放大器,输出至51单片机P10口,声音电码信号转换成指示灯发光信号。

2.2 方案比较

(针对上节分析得到的理论实现方法,提出两种以上的设计方案,并进行比较。)

2.1.1 发报机设计方案:正弦波发生电路

(1)文氏桥振荡器方案

文氏桥振荡器又叫RC桥式正弦波振荡器。以RC串并联网络为选频网络和正反馈网络、并引入电压串联负反馈,两个网络构成桥路,一对顶点作为输出电压,一对顶点作为放大电路的净输入电压,就构成文氏桥振荡器。

(2)方波发生器方案

先用单片机产生1KHZ的方波,再用RC滤波电路从方波中滤出正弦波。根据傅里叶级数理论,任何信号都是由各个频段的正弦信号叠加而成的。该电路其实就是一个低通滤波器,截止频率正好是输入的方波的频率,因而可以滤掉方波中的二次谐波和高次谐波分量,最

后只剩下一次谐波分量,也就是频率和方波频率一样的正弦波。

2.2.2 发报机设计方案:数字发报功能

(1)纯数字电路方案

(2)单片机控制方案

2.2.3 收报机设计方案:收报显示功能

前置放大器主要由LM386组成,先由驻极体话筒把音频信号转化成电信号,再通过LM386放大电路将信号放大。再通过LM567选频电路锁相环内部压控振荡器的中心频率选择收到的频率,点亮收报指示灯。

2.2.4 收报机设计方案:收报译码显示功能

2.3 系统结构设计

(从上节提出的设计方案中选定一种作为最终方案,具体展开说明,给出完整的系统原理和结构框图。)

2.3.1 发报机设计方案

我们经过比较选择了单片机控制方案,该方波发生器具有线路简单、结构紧凑、价格低廉、性能优越等优点。并且之前在有关课程学过单片机方波发生的程序也实践过,所以比较熟悉。文氏振荡器虽然之前模电也接触过但不是很熟悉,并且文氏振荡器振荡频率取决于R 和C,C要是太小,频率就和放大环节有关了,所以电路的频率不能太高,要高就用LC电路。

单片机控制方案对于实现数字发报功能也比较简单,通过编程就可以完成,数字电路实现的话会比较复杂。

综上所述,我们选择了单片机控制方案。

2.3.2 收报机设计方案

主要采用单片机控制

2.4 具体电路设计

(根据)选定的系统实现方案,具体细化设计出完整的电路原理图,并给出具体的元器

件参数。应对设计过程用文字详细3说明(可附局部单元电路图说明),包括电路结构选择的理由、元器件参数的计算过程等。电路原理图应用Protel、Altium Designer、OrCAD等专业设计软件或Microsoft Office Visio等绘图软件规范绘制,上面应标注所有元器件的标号、型号或主要参数,如果图的尺寸较大可单独附页或使用层次电路图绘制。)

2.4.1 发报机电路原理图

while(i--)

{

for(j=0;j<115;j++) //1ms基准延时程序

TR0=0;//赋初值时,关闭定时器

TL0 = 0xB4; //设置定时初值,单片机晶振11.0592Mhz

TH0 = 0xFF; //设置定时初值

TF0=0;

TR0=1;//打开定时器

time++;

if(time>=10) time=0;//频率1000hz

if(time<=4) PWM=0;//40%时间输出低电平,60%时间输出高电平,占空比60%

else PWM=1;//以下设置标志位flag,根据flag的状态决定输出的高电平还是低电平。

单片机的晶振为11.0592MHz,用到了两个定时器,即定时器0与定时器1,分别进行频率与占空比的定时,两个定时器都是工作在方式1。

上拉电阻是为了给高阻抗输入提供一个稳定的高电平,这是因为:有些单片机的I/O 口作为输出时只能提供高阻抗(或弱上拉)输出与低电平输出,他们提供所谓的“高电平”实际上驱动能力是很弱的,列扫在读取时,可能会发生误判(相当于读取高阻抗状态,读取值是随机的),所以必须要在读取时加上拉电阻,将高阻转换为稳定的高电平,才能保证读取的正确。根据经验10K的上拉是比较保险的做法。

矩阵键盘分别对应数字发报键,在键盘中按键数量较多时,为了减少I/O口的占用,通常将按键排列成矩阵形式。在矩阵式键盘中,每条水平线和垂直线在交叉处不直接连通,而是通过一个按键加以连接。由此可见,在需要的键数比较多时,采用矩阵法来做键盘是合理的。

在中心频率fo处的电压增益Ao=B3/2B1,品质因数,3dB带宽B=1/(п*R3*C)也可根据设计确定的Q、fo、Ao值,去求出滤波器的各元件参数值。R1=Q/(2пfoAoC),R2=Q/((2Q2-Ao)*2пfoC),R3=2Q/(2пfoC)。上式中,当fo=1KHz时,C取0.01Uf,R取16k 欧姆。

CD4051相当于一个单刀八掷开关,开关接通哪一通道,由输入的3位地址码ABC来决定。INH”是禁止端,当“INH”=1时,各通道均不接通。此外,CD4051还设有另外一个电源端VEE,以作为电平位移时使用,从而使得通常在单组电源供电条件下工作的 CMOS电路所提供的数字信号能直接控制这种多路开关,并使这种多路开关可传输峰-峰值达15V的交流信号。例如,若模拟开关的供电电源VDD=+5V,VSS=0V,当VEE=-5V时,只要对此模拟开关施加0~5V的数字控制信号,就可控制幅度范围为-5V~+5V的模拟信号。 . 使用十六进制代码就可以对CD4051进行操作了。比如说P1=0X07,这样CD4051就选择的是7号(二进制111)通道了。

如图所示,当我们按下发报键,发报指示灯点亮,由于con口与cd4051的6口相连,所以是cd4051的使能端为低电平,这样可以使cd4051工作。由于ABC都接地,所以都为低电平,所以选择X0口为输入口。cd4051的13口输入,3口输出。

功放电路:LM386是一种音频集成功放,具有自身功耗低、更新内链增益可调整、电源电压范围大、外接元件少和总谐波失真小等优点的功率放大器,广泛应用于录音机和收音机之中。这是一个三级放大电路:第一级为差分放大电路;第二级为共射放大电路;第三级为准互补输出级功放电路。引脚2为反相输入端,3为同相输入端;引脚5为输出端;引脚6和4分别为电源和地;引脚1和8为电压增益设定端;使用时在引脚7和地之间接旁路电容,通常取10μF。查LM386的datasheet,电源电压4-12V或5-18V(LM386N-4);静态消耗电流为4mA;电压增益为20-200dB;在1、8脚开路时,带宽为300KHz;输入阻抗为50K;音频功率0.5W。尽管LM386的应用非常简单,但稍不注意,特别是器件上电、断电瞬间,甚至工作稳定后,一些操作(如插拔音频插头、旋音量调节钮)都会带来的瞬态冲击,在输出喇叭上会产生非常讨厌的噪声。

去耦电容是电路中装设在元件的电源端的电容,此电容可以提供较稳定的电源,同时也可以降低元件耦合到电源端的噪声,间接可以减少其他元件受此元件噪声的影响,把输出信号的干扰作为滤除对象,在放大电路中不需要交流的地方,用来消除自激,使放大器稳定工作。去耦电容就是起到一个电池的作用,满足驱动电路电流的变化避免相互间的耦合干扰,避免由于电流的突变是电压下降。而使用4个并联的0.1uf的电容,是因为电容器除了有电容量,它还分布有分布电感,微法级别以上的电容器的极板是用很长的金属箔圈起来制成的,类似于一个多匝的线圈,所以就形成了电感效应,分布电感则会阻碍对高频信号的旁路作用,把四个小电容并联后,电容量相加,总容量还是0.4uf,但是分布电感则是相减,其结果是大大小于一个0.4uf大电容的分布电容,可以更好的起到去耦的作用。

2.4.2 收报机电路原理图

前置音频放大电路同样采用了lm386设计,原理与之前功放电路一致。

LM567的主要参数如下:1.电源电压4.75~9V 2.静态工作电流8mA 3.最高工作频率500KHz 4.8脚最大吸收电流l00mA。5、6脚外接定时电阻及电容决定锁相环内部压控振荡器的中心频率(fo=1/1.1RC)。第2脚对地接电容C2为相位比较器输出的低通滤波器。第2脚所接电容C2对锁相环的捕捉带宽Bw有影响。第1脚对地接一电容C1为正交相位检波器的输出滤波,其电容值应不小于2脚所接电容约两倍,即Cl大于、等于2C2。第3脚为信号输入端,要求输入信号的幅度大于25mV,最佳值为200mV左右。当LM567的输入信号的频率落在其内部压控振荡器中心频率fo附近时,逻辑输出端(8脚)将由原高电平变为低电平,输出一个负脉冲。8脚不仅可以实现选频,而且还有负脉冲形成功能。改变Rp可改变选频频率。由于8脚为集电极开路输出,故实际应用时,其8脚应接一上拉电阻至电源正极Vdd。

共阳极数码管的8个发光二极管的阳极(二极管正端)连接在一起。通常,公共阳极高

电平(一般接电源),其它管脚接段驱动电路输出端。当某段驱动电路的输出端为低电平时,则该端所连接的字段导通并点亮。根据发光字段的不同组合可显示出各种数字或字符。此时,要求段驱动电路能吸收额定的段导通电流,还需根据外接电源及额定段导通电流来确定相应的限流电阻。一般限流电阻取1k比较合适。

3 制作及调试过程

3.1 制作与调试流程

(详细说明电路制作与调试的方法和过程,如各单元电路的制作和调试顺序等。)

1) 先将电路分为两大部分进行焊接,发报机分为五部分:单片机最小应用系统,矩阵键盘,模拟开关模块(CD4051bcm),低通滤波电路,功放电路,去耦电容。收报机分为四部分:前置音频放大电路,选频电路,限流电阻+晶体管,去耦电容。

2) 发报机正弦波产生的调试,接通5v独立电源,接地线插在焊好的插排上,示波器分别连在扬声器的两个接口,调节功放电路的10k欧姆的滑动变阻器,当示波器的画面上显示稳定无失真的正弦波图形时,记录此时示波器上的频率值,绘制表格。

3) 收报机选频电路的调试,收报机接通5v独立电源,接地线插在焊好的插排上,信号发生器分别连在音频放大电路的输入端输出端,调节LM567的5,6两引脚间的10k的滑动变阻器,当收报指示灯点亮的时候,停止调节,记录数据。

4) 以上两项都进行成功的情况下,调试通信距离,进行发报收报通信测试,发报机发报,在不同的距离处收报指示灯点亮并记录数据。

5) 发报数字电键的焊接和调试,采用矩阵电键,用杜邦线连接单片机接口,焊接完成用万用表检查电路连通性。

3.2 遇到的问题与解决方法

(在电路制作和调试过程中遇到的问题、产生原因、解决方法。)

(1)开始扬声器噪声较大:我们将功放电路的电阻由1K欧换成了十欧,并且将lm386的1,8两端加了电容,从而减少了噪声。

(2)开始扬声器音量较小:我们通过调节功放电路的滑动变阻器将音量调大。

(3)Cd4051与数码管烧了:没有加底座,容易烧坏,所以我们加了底座解决了这个问题。

4 系统测试

4.1 测试方法

(系统各项功能、指标的测试方法及流程,并附测试仪器与电路的连接示意图。)

1)发报机人工发报功能

将示波器探头接在发报机扬声器两端,按下发报机“发报电键”,观察“发报指示灯”是否点亮,扬声器是否有 1kHz 声音信号发出,从示波器上观察输出波形及频率。

2) 收报机收报功能

将信号发生器输出接测试用扬声器,调整信号发生器输出正弦信号频率,使扬声器发出 0.8kHz、0.9kHz、1.0kHz、1.1kHz、1.2kHz 五种频率的声音信号,任意调节信号发生器输出信号幅度(即调节扬声器音量),观察收报机“收报指示灯”是否随该声音信号的有无亮灭变化。

3) 有效通信距离

将发报机与收报机摆放在相距 30cm 的位置进行发报-收报通信测试,观察收报机“收报指示灯”是否能显示发报状态,如无反应则缩短发报机与收报机之间的距离再次进行测试,直到收报机能稳定显示发报机发报状态为止。

4) 发报机自动编码功能

逐一按下发报机上的“数字发报键”,听扬声器发出的电码声音是否正确。

5) 收报机一位解码显示功能

使用发报机采用人工或自动方式分别发送十个数字电码,观察收报机是否正确显示相应数字。如发报机不能正常工作,可使用其他能正常工作的发报机或信号发生器或其他设备模拟发报测试。测试时发报机与收报机间距离可以小于 30cm。报指示灯”是否熄灭,扬声器是否停止发声。

4.2 测试数据

(系统各项功能和参数的原始测试数据(以表格形式)和最终参数的计算过程。)

频率1.010KHZ。

4.3 数据分析和结论

(对系统测试数据结果进行统计和分析,如实测数据与理论计算预期的差异分析,包括对误差产生原因的分析,并就系统是否符合设计任务要求给出结论。)

误差在可承受范围内,符合实验要求。

5 系统使用说明

5.1 系统外观及接口说明

(应附作品实物照片2~3张,说明作品实物中按键、显示单元、接口等输入输出单元的位置和功能。)

发报机:

收报机:

5.2 系统操作使用说明

(作品实物的操作使用说明。)

按下发报键,收报机指示灯点亮,按下发报数字电键,收报机数码管显示发报数字。

6 总结

6.1 本人所做工作

(本人在小组中的分工和实际完成的工作。)

我在实验主要做的工作就是参与电路图的设计,由于这个设计比较复杂,所以我询问了老师同学,上网查找了大量的资料,并且与组员讨论,通过不断的修正与调整,最后得到

了最终我们所需求的电路图。我焊接了部分电路图,并且在实验室用示波器和信号发生器进

行检验与调试。

6.2 收获与体会

(本人在本课程中的收获与体会。)

这个实验花了我们很多时间,在此次试验中,培养了我电子系统工程设计的能力,对完成一次试验的流程有了更深入的了解,提高了电路的分析与修改能力,掌握了查阅有关资料和使用器件手册的基本方法。同时,通过对Multisim的使用,掌握了使用软件设计与仿真电路的基本方法。在焊接的过程中,能更快更准确的将元器件焊接在电路板的合适位置。

感谢同组成员彭亿和赵晨曦,他们给了我很多帮助,没有他们的合作,我完成不了这个实验,总之,在此次实验中,提高了我的创新能力,资料收集能力,资料整合能力,团队合作能力等等。同时,还对过去学过的知识有很好的温习作用,此次电设实验,受益匪浅!

6.3 对本课程的意见与建议

(本人对本课程教学的意见和建议。)

关于建议,我们在这个实验开始时比较茫然,不知道从何下手,希望在课程开始的时候老师可以多给一些方法,这样可以有助我们开始。这个实验。

7 参考文献

(列出在本设计中参考的有关文献,包括书籍、论文、网上信息等。书写格式应符合以下规定。顶格写,按“作者.书名或文题.地名:出版社(或期刊)名,出版年份(或期刊卷期次):页码”(中译本应在书名后加译者名)的次序排列。)

示例:

[1] 侯建军.数字电子技术基础(第二版).北京:高等教育出版社,2007

[2] 付兵.基于Word文档的电子作业防拷贝研究.荆州:长江大学学报<自然版>理工卷,2007(4).1:69-70

[3] 放大器的噪声来源.与非网.https://www.doczj.com/doc/9414336279.html,/article/10-12/ 932701292163761.html?sort=1111_1118_1428_0

[4] LM124/LM224/LM324/LM2902 Low Power Quad Operational Amplifiers.National Semiconductor Corporation,1999

模电实验报告

模拟电子技术 实验报告 实验题目:放大电路的失真研究 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 【2017年】

目录 一、实验目的与知识背景 (3) 1.1实验目的 (3) 1.2知识背景 (3) 二、实验内容及要求 (3) 2.1基本要求 (3) 2.2发挥部分 (4) 三、实验方案比较及论证 (5) 3.1理论分析电路的失真产生及消除 (5) 3.2具体电路设计及仿真 (8) 四、电路制作及测试 (12) 4.1正常放大、截止失真、饱和失真及双向失真 (12) 4.2交越失真 (13) 4.3非对称失真 (13) 五、失真研究思考题 (13) 六、感想与体会 (16) 6.1小组分工 (16) 6.2收获与体会 (16) 6.3对课程的建议 (17) 七、参考文献 (17)

一、实验目的与知识背景 1.1实验目的 1. 掌握失真放大电路的设计和解决电路的失真问题——针对工程问题,收集信息、查阅文献、分析现有技术的特点与局限性。提高系统地构思问题和解决问题的能力。 2. 掌握消除放大电路各种失真技术——依据解决方案,实现系统或模块,在设计实现环节上体现创造性。系统地归纳模拟电子技术中失真现象。 3. 具备通过现象分析电路结构特点——对设计系统进行功能和性能测试,进行必要的方案改进,提高改善电路的能力。 1.2知识背景 1.输出波形失真可发生在基本放大、功率放大和负反馈放大等放大电路中,输出波形失真有截止失真、饱和失真、双向失真、交越失真,以及输出产生的谐波失真和不对称失真等。 2.基本放大电路的研究、乙类功率放大器、负反馈消除不对称失真以及集成运放的研究与应用。 3.射极偏置电路、乙类、甲乙类功率放大电路和负反馈电路。 二、实验内容及要求 2.1基本要求 1.输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。

北科大数字图像处理实验报告

北京科技大学计算机与通信工程学院 实验报告 实验名称:《数字图像处理》课程实验 学生姓名:徐松松 专业:计算机科学与技术 班级:计1304 学号:41345053 指导教师:王志明 实验成绩: 实验时间:2016 年12 月15 日

一、实验目的与实验要求 1、实验目的 1. 熟悉图像高斯、脉冲等噪声的特点,以及其对图像的影响; 2. 理解图像去噪算法原理,并能编程实现基本的图像去噪算法,达到改善图像质量的效果,并能对算法性能进行简单的评价。 3. 理解图像分割算法的原理,并能编程实现基本的灰度图像分割算法,并显示图像分割结果。 2、实验要求 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg),设计或选择至少两种图像滤波算法对图像进行去噪。 2.利用给出的参考图像(org1.jpg, org2.jpg),对不同算法进行性能分析比较。 3. 对于给定的两幅数字图像(test.jpg,test 4.jpg),将其转换为灰度图像,设计或选择至少两种图像分割算法对图像进行分割,用适当的方式显示分割结果,并对不同算法进行性能分析比较。 二、实验设备(环境)及要求 1. Mac/Windows计算机 2. Matlab编程环境。 三、实验内容与步骤 1、实验1 (1)实验内容 1. 对于给定的两幅噪声图像(test1.jpg, test 2.jpg), 设计或选择至少两种图像滤波算法对图像进行去噪。 2. 利用给出的参考图像(org1.jpg, org2.jpg), 对不同算法进行性能分析比较。(2)主要步骤 1. 打开Matlab编程环境; 2. 利用’imread’函数读入包含噪声的原始图像数据; 3. 利用’imshow’函数显示所读入的图像数据;

数电实验报告

数电实验报告

《数字电子技术》 实验报告 姓名:*** 班级:****888

学号:2014*******8 指导老师:**** 编制时间:2016.06.10 北京联合大学 实验一基本集成逻辑门电路功能分析一、实验目的 1.理解TTL和CMOS普通门电路的参数含义。 2.掌握TTL和CMOS普通门电路的使用方法。 3.掌握分析普通门电路逻辑功能的一般方法。 4.理解TTL和CMOS普通门电路参数的一般分析方法。 二、实验元器件 双四输入与非门 74LS00×1片 六反相器 74LS04×1片 电阻 300Ω×1只 三、实验内容

(一) TTL 双四输入与非门74LS00功能分析 (1)逻辑功能分析 参考图1.1连接电路。一只74LS00芯片中含有四个相同的2输入与非门,可以随意选用,此处选用的是第一个门电路。检查电路无误时方可通电。 图1.1 与非门逻辑功能测试电路 变换单刀双掷开关J1和J2的状态,用直流电压表测试电路的输出电压,将测试结果记入表1.1中。 表1.1 输入 输出 U 1/V U 2/V 实测值 逻辑值 0 0 5 5 0 5 5 5 5 5 5 U1A 7400N J2Key = A J1 Key = B VCC 5V 0.000 V +-

5 5 0 0 (2)电压传输特性分析 依照图1.3编辑电路。在0~5V 间逐步调整输入的直流电压,将随之变化的输出电压记入表1.2中。 图1.3 分析与非门电压传输特性仿真电路 表1.2 U I /V U O /V U I /V U O /V U I /V U O /V U I /V U O /V 5.0 0 3.8 0 2.6 0 1.4 5 4.8 0 3.6 0 2.4 5 1.2 5 4.6 0 3.4 0 2.2 5 1.0 5 4.4 0 3.2 0 2.0 5 0.8 5 4.2 0 3.0 0 1.8 5 0.4 5 4.0 0 2.8 1.6 5 5 5.000 V +-VSS U1A 7400N V2 1.8 V

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

模电实验报告答案1汇总

简要说明:本实验所有内容是经过^一年的使用并完善后的定稿;已经出版的较为成熟的内容,希望同学们主要参考本实验内容进行实验。 实验一常用电子仪器使用 为了正确地观察电子技术实验现象、测量实验数据,实验人员就必须学会常用电子仪器及设备的正确使用方法,掌握基本的电子测试技术,这也是电子技术实验课的重要任务之一。在电子技术实验中,所使用的主要电子仪器有:SS-7804型双踪示波器,EE-1641D函数信号发生器,直流稳压电源,DT89C型数字万用表和电子技术实验学习机。学习上述仪器的使用方法是本实验的主要内容,其中示波器的使用较难掌握,是我们学习的重点,要进行反复的操作练习,达到熟练掌握的目的。 一、实验目的 1. 学习双踪示波器、函数信号发生器、直流稳压电源的正 确使用方法。 2. 学习数字万用表的使用方法及用数字万用表测量元器 件、辩别二极管和三极管的管脚、类型。 3. 熟悉实验装置,学会识别装置上各种类型的元件。 二、实验内容

(一)、示波器的使用 1. 示波器的认识 示波器是一种测量、观察、记录电压信号的仪器,广泛应用于电子技术等领域。随着电子技术及数字处理技术的发展,示波器测量技术日趋完善。示波器主要可分为模拟示波器和数字存贮示波器两大种类。 模拟示波器又可分为:通用示波器、取样示波器、光电存储示波器、电视示波器、特种示波器等。数字存贮示波器也可按功能分类。 即便如此,它们各有各的优点。模拟示波器的优点是: ?可方便的观察未知波形,特别是周期性电压波形; ?显示速度快; ?无混叠效应; ?投资价格较低廉。 数字示波器的优点是: ?捕捉单次信号的能力强; ?具有很强的存储被测信号的功能。 示波器的主要技术指标: ①. 带宽:带宽是衡量示波器垂直系统的幅频特性,它 指的是输入信号的幅值不变而频率变化,使其显示波形的幅度 下降到3dB时对应的频率值。 ②. 输入信号范围: ③. 输入阻抗: ④. 误差: ⑤. 垂直灵敏度:指垂直输入系统的每格所显示的电压

数电实验报告一

姓名:谭国榕班级:12电子卓越学号:201241301132 实验一逻辑门电路的研究 一、任务 1.熟悉实验室环境及实验仪器、设备的使用方法。 2.掌握识别常用数字集成电路的型号、管脚排列等能力。 3.熟悉74 LS系列、CMOS 4000B系列芯片的典型参数、输入输出特性。 4.掌握常规数字集成电路的测试方法。 二、实验设备及芯片 双踪示波器(DF4321C)1台 信号发生器(DF1641B1)1台 数字万用表(UT58B)一台 数电实验箱1个(自制) 芯片2个:74LS04 CD4069 。 三、实验内容 1.查阅芯片的PDF文件资料,分清管脚名与逻辑功能的对应关系及对应的真值表。74LS04:

CD4069: 2.静态测试 验证6非门74LS04、4069逻辑功能是否正常,并用数字万用表测量空载输出的逻辑电平值(含高、低电平)。 结论:由表格可以看出,CD4069输出的高电平比74LS04高,输出的低电平比74LS04低,所以CD4069的噪声容限相对于74LS04来说较大,故其抗干扰能力强。 3.动态测试 测逻辑门的传输延迟时间:将74LS04、4069中的6个非门分别串接起来,将函数发生器的输出调为方波,对称,幅度:0-5V,单极性,加至第一个门的输入端,并用示波器的通道1观察;用示波器的通道2观察最后一个非门的输出信号,对比输入输出波形以及信号延迟时间。

调节方波信号:

74LS04输出延迟特性: CD4069输出延迟特性:

输出延迟时间的实验数据表: 结论:74LS04的输出延迟比CD4069的输出延迟要短,说明前者的工作速度比后者快。 4.观察电压传输特性 用函数发生器的输出单极性的三角波,幅度控制在5伏,用示波器的X-Y 方式测量TTL 、 CMOS 逻辑门的传输特性,记录波形并对TTL 、CMOS 两种类型电路的高电平输出电压、低电平输出电压以及噪声容限等作相应比较。 (1) 调节函数发生器的输出:单极性三角波,对称,幅度:5V ,频率:500Hz ,从函数发生 器的下部50Ω输出端输出信号; 如图: (2) 扫描方式改为X-Y ,CH1、CH2 接地,调光标使其处于左下角附近; (3) CH1 用 2.0V/DIV (DC ),接函数发生器输出(即非门的输入);CH2 用 0.2V/DIV (DC ),接非门输出。 (4) 记录示波器波形(如图)。

模电实验报告

模拟电子电路课程设计报告书 题目名称:直流稳压电源 姓名:刘海东潘天德 班级:15电科2 学号:23 26 日期:2017.6.11

目录 绪论 (2) 一设计目的 (3) 二设计要求与指标 (3) 三理论分析 (4) 四器件选择及计算 (9) 五具体制作步骤 (12) 六测试方法 (13) 七问题及总结 (15) 八心得体会 (17) 绪论 直流稳压电源一般由电源变压器,整流滤波电路及稳压电路所组成。变压器把市电交流电压变为所需要的低压交流电。整流器把交流电变为直流电。经滤波后,稳压器再把不稳定的直流电压变为稳定的直流电压输出。本设计主要采用直流稳压构成集成稳压电路,通过变压,整流,滤波,稳压过程将220V交流电,变为稳定的+/- 5v直流电,并实现电压可在8-15V连续可调。电源在生活中是非常常见的一种电器,任何电子电路都离不开电源,就像我们下学期即将学到的单片机一样,需要5V的直流电源,没有电源就不能进行正常的工作,如果用干电池进行供电,则有供电功率低,持续供电能力差,成本高等缺点。而交流电在产生、电能输送等方面具有独特的优点,发电站、各市电网中的电能传输都是以交流电的形式进行输送,如果我们对市电提供的电压进行降压整流等,把交流电转换成直流电,以获得我们所

需要的电压。 一设计目的 1.学习基本理论在实践中综合运用的初步经验,掌握模拟电路设计的基本方法、设计步骤,培养综合设计与调试能力。 2.学会直流稳压电源的设计方法和性能指标测试方法。 3.培养实践技能,提高分析和解决实际问题的能力。 二设计要求与指标 2.1设计要求 (1)分析电路组成及工作原理; (2)单元电路设计计算; (3)采用分立元件电路; (4)画出完整电路图; (5)调试方法; (6)小结与讨论。 2.2设计指标 (1)输出电压:8~15V可调 (2)输出电流:I O=1A (3)输入电压:交流 220V+/-10%

数电实验报告

北京邮电大学 数字电路与逻辑设计实验简易电子琴演奏器 班级: 学号: 姓名:

一.设计课题的任务要求 题目五简易电子琴演奏器 原理概述: 根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每两个八音度之间的频率相差一倍,在两个八音度之间,又可分为12个半音。每两个半音的频率比为4。另外,音名A(乐谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。由此可以计算出乐谱中从低音1到高音1之间每个音名的频率如下表所示。 基本要求: 1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。其中点阵 的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。 图1 点阵显示的电子琴键盘 2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个 音符。当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。

图2 按键按下后的点阵显示 3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。 4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。 提高要求: 1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加 自动演奏乐曲的功能。 2、增加手动演奏的音符存储、播放功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路 电子琴的设计主要包括了显示与发声部分,发声部分主要分为三个不同的音阶,每个音阶包括了七个音,发生部分可以通过对50M晶振的分频,通过改变分频系数,得到响应频率的时钟脉冲,以这个脉冲控制蜂鸣器发出声音;显示部分则包括了数码管的音阶显示与点阵的琴键显示。数码管可以动态显示出音阶与当前音符,点阵则模拟显示琴键,动态显示实现了一个三角阵,并对应到音符琴键,这两个显示模块可以通过动态显示来实现显示显示不同的内容,配合按键可以实现基本功能。不同的音阶可以通过相应拨码开关的选择来调节,至于显示的复位,则不需要专门设置键位来完成,因为每个转台结束后就会自动转入等待状态。对于音调,乐曲的12平均率规定:每2个八度音之间的频率相差1倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为12√2。另外,音符A 的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1到高音1之间每个音符的频率。而对于节拍,如果将一拍的长度定为1秒,则1/4拍的时间为1/4秒,为其提供一个4Hz的时钟频率即可产生出1/4拍的效果了。若需要半拍,只需将该音符记录两次就可以了。 总体框图

北京交通大学数电报告

国家电工电子实验教学中心 数字电子技术 实验报告 李含笑 15211069 通信1503班

实验二基础实验计数器设计 一、实验目的 1.掌握同步计数器集成芯片74LS193的功能和使用方法。 2.掌握用集成计数器实现任意模数计数器。 3. 用十六进制计数器74LS193设计五进制减计数器(置位法) 二、实验器件 一个74LS193计数器(图2-1),它具有异步清零与异步预置的功能,不受时钟脉冲的影响,一个74LS00与非门芯片 UP为加计数时钟输入端; DOWN为减计数时钟输入端; CLR为清零输入端; BO为借位输出端; CO为进位输出端; LOAD为置数输入端; A~B为并行输入端; Q A~Q D为计数输出端 图2-174LS193芯片管脚图 表2-2 74ls193功能表

三、实验内容和实验原理 74LS193 是一个异步清零,异步预置的可逆计数器,当UP接时钟端(上升沿触发),DOWN 接高电平的时候,实现加法计数,当DOWN接时钟端(上升沿触发),UP接高电平时,实现减法计数。 本实验实现模5减法计数,用预置法,74LS193是异步预置,因此要有6个状态,其中一个为暂存态(过渡态)。预置初值为0100,当其减至1111时,由于最高位接了与非门,因此与非门产生低电平0,送至LD端,LD低电平有效,进行预置,输出变为0100。 四、实验电路原理图 图2-2 实验仿真图 图2-3 实验仿真图

图2-4 五进制减法计数器原理图 五、实验过程 图2-5 图2-6 图2-7图2-8图2-9 六、数据分析和结论 同步计数器与异步计数器的区别在于异步计数器的的每个触发器不是由同一个脉冲来控制的,同步计数器的每个触发器都是由同一个脉冲来控制的

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

模电课程设计实验报告分析

模电课程设计实验报告 实验内容:一、设计并制作一个能输出+5V 电压的直流稳压电源,输入电压为直流9V。二、利用课程设计(一)制作的电源、电压比较器、电压跟随器设计,驱动三 极管,通过可调电阻,控制LED灯的点亮和熄灭。 实验要求:(1)设计出+5V 直流稳压电源的电路原理图; (2)在万用板上焊接组装给定的元器件并进行调试,输入电压没有极性之分, 输出电压+5V,并点亮电源指示灯(红色); (3)设计一款电压比较器A,参考电压2.5V; (4)设计一款电压跟随器B,跟随电压比较器A 的电压; (5)驱动三极管,通过可调电阻,实现对LED(绿色)灯的控制; (6)完成课程设计报告的撰写。 实验原理: 一、制作稳定电压源 采用二极管、集成运放、电阻、稳压管、电容、二极管、LED发光二极管等元件器件。 输入电压为9V 的直流电源经桥式整流电路和滤波电路形成稳定的直流电源,稳压部分采用 串联型稳压电路。比例运算电路的输入电压为稳定电压;同时,为了扩大输出大电流,集 成运放输出端加晶体管,并保持射极输出形式,就构成了具有放大环节的串联型稳压电路。整体功能结构如图 直流9V 1、单相桥式整流电路 直流5V 为了将电压转换为单一方向的电压,通过整流电路实现。查阅资料可知单相整流电路有单相桥式整流电路(全波整流电路)。桥式整流电路巧妙地利用了二极管的单向导电性,将四个二极管分为两组,根据变压器次级电压的极性分别导通,将变压器次级电压的正极性端与负载电阻的上端相连,负极性端与负载电阻的下端相连,使负载上始终可以得到一个单方向的脉动电压。单相桥式整流电路,具有输出电压高,变压器利用率高、脉动系数小等优点。所以在电路中采用单相桥式整流电路。 2、滤波电路 整流电路滤波电路稳压电路

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

数电实验报告

选课时间段: 序号(座位号): 杭州电子科技大学 实验报告 课程名称: 数字原理与系统设计实验 实验名称: 组合电路时序分析与自动化设计 指导教师: 学生姓名 学生学号 学生班级 所学专业 实验日期

实验一、设计8位串行进位加法器电路设计: 一位全加器: 八位串行进位加法器:

仿真波形:

实验二、设计5人表决电路 代码: module BJDL45(A,B,C,D,E,YES,NO); input A,B,C,D,E; output YES,NO; reg YES,NO; always@ (A,B,C,D,E,YES,NO) case ({A,B,C,D,E}) 5'B00000:{YES,NO}<=2'B01; 5'B00001:{YES,NO}<=2'B01; 5'B00010:{YES,NO}<=2'B01; 5'B00011:{YES,NO}<=2'B01; 5'B00100:{YES,NO}<=2'B01; 5'B00101:{YES,NO}<=2'B01; 5'B00110:{YES,NO}<=2'B01; 5'B00111:{YES,NO}<=2'B10; 5'B01000:{YES,NO}<=2'B01; 5'B01001:{YES,NO}<=2'B01; 5'B01010:{YES,NO}<=2'B01; 5'B01011:{YES,NO}<=2'B10; 5'B01100:{YES,NO}<=2'B01; 5'B01101:{YES,NO}<=2'B10; 5'B01110:{YES,NO}<=2'B10; 5'B01111:{YES,NO}<=2'B10; 5'B10000:{YES,NO}<=2'B01; 5'B10001:{YES,NO}<=2'B01; 5'B10010:{YES,NO}<=2'B01; 5'B10011:{YES,NO}<=2'B10; 5'B10100:{YES,NO}<=2'B01; 5'B10101:{YES,NO}<=2'B10; 5'B10110:{YES,NO}<=2'B10; 5'B10111:{YES,NO}<=2'B10; 5'B11000:{YES,NO}<=2'B01; 5'B11001:{YES,NO}<=2'B10; 5'B11010:{YES,NO}<=2'B10; 5'B11011:{YES,NO}<=2'B10; 5'B11100:{YES,NO}<=2'B10; 5'B11101:{YES,NO}<=2'B10; 5'B11110:{YES,NO}<=2'B10; 5'B11111:{YES,NO}<=2'B10; default: {YES,NO}<=2'B10; endcase

模电课设实验报告

河北科技大学 课程设计报告 学生姓名:xxx学号:120701103 专业班级:xxx 课程名称:模拟电子技术基础 学年学期:2 013 —2 014 学年第一学期指导教师:王彦朋蔡明伟 2 0 1 3 年12 月

课程设计成绩评定表

目录 一任务.................................................................................................................. - 1 - 二电路原理图...................................................................................................... - 1 - 三单元电路设计.................................................................................................. - 1 - 1.稳压电源单元电路设计............................................................................... - 1 - 2.正弦波单元电路设计................................................................................... - 2 - 3.方波单元电路设计....................................................................................... - 3 - (1)过零比较器及限幅电路.................................................................. - 3 - (2)反相比例运算放大电路.................................................................. - 4 - 4.三角波单元电路设计................................................................................... - 5 - 四元件明细表...................................................................................................... - 6 - 五安装与调试...................................................................................................... - 7 - 六收获体会.......................................................................................................... - 7 - 七附录.................................................................................................................. - 8 - 八参考文献.......................................................................................................... - 8 -

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验报告

国家电工电子实验教学中心数字电子技术基础 实验报告 实验题目:中频自动增益控制数字电路的研究 学院:电子信息工程学院 班级: 学生姓名: 学号: 任课教师:骆丽 同组成员: 2015年11月17日

目录 1 设计任务要求 (1) 2 设计方案及论证 (1) 2.1 任务分析 (1) 2.2 方案比较 (3) 2.3 系统结构设计 (5) 2.4 具体电路设计 (8) 3 制作及调试过程 (16) 3.1.1 制作及调试过程 (16) 3.1.2 遇到的问题和解决方法 (17) 3.2.1 仿真过程 (17) 3.2.2 制作及调试过程 (19) 3.2.3 实验结果 (20) 3.2.4 遇到的问题和解决方法 (20) 4 实验研究与思考 (21) 5 总结 (23) 5.1 本人所做工作 (23) 5.2 收获体会 (23) 5.3 对本课程的建议 (23) 6 参考文献 (23)

1 设计任务要求 【实验目的】 1.掌握中频自动增益数字电路设计可以提高学生系统地构思问题和解决问题的能力。 2.通过自动增益数字电路实验可以系统地归纳用加法器、A/D和D/A转换电路设计加法、减法、乘法、除法和数字控制模块电路技术。 3.培养学生通过现象分析电路结构特点,进而改善电路的能力。 【基础实验】 (1)用加法器实现2位乘法电路 (2)用4位加法器实现可控累加(加/减,-9到9,步长为3)电路。最大数字和为两位10进制数18。(要求二进制转化为十进制电路设计不能用模块74185) 【发挥部分】 (1)设计一个电路,输入信号50mV到5V峰峰值,1KHZ~10KHZ的正弦波信号,输出信号为3到4V的同频率,不失真的正弦波信号。精度为8位,负载500Ω。 (2)发挥部分中,若输出成为直流,电路如何更改。 2 设计方案及论证 2.1 任务分析 【基础部分】 用加法器实现2位乘法电路 2位乘法电路是典型的组合电路。设两位二进制分别为A1,A0和B1,B0,输出为S3,S2,S1,S0。根据乘法展开式,如图2-1,要实现2位乘法电路,可以使用与门将两个信号相与来实现乘运算,再通过加法器实现加和运算。最后通过输入高低电平,在数码管上观察乘积结果进行验证。

数电仿真实验报告

数字电子技术仿真 实验报告 班级: 姓名: 学号:

实验一组合逻辑电路设计与分析 一、实验目的 1.掌握组合逻辑电路的特点; 2.利用逻辑转换仪对组合逻辑电路进行分析与设计。 二、实验原理 组合逻辑电路是一种重要的、也是基本的数字逻辑电路,其特点是:任意时刻电路的输出仅取决于同一时刻输入信号的取值组合。 对于给定的逻辑电路图,我们可以先由此推导出逻辑表达式,化简后,由所得最简表达式列出真值表,在此基础上分析确定电路的功能,这也即是逻辑电路的分析过程。 对于组合逻辑电路的设计,一般遵循下面原则,由所给题目抽象出便于分析设计的问题,通过这些问题,分析推导出真值表,由此归纳出其逻辑表达式,再对其化简变换,最终得到所需逻辑图,完成了组合逻辑电路的设计过程。 逻辑转换仪是在Multisim软件中常用的数字逻辑电路设计和分析的仪器,使用方便、简洁。 三、实验电路及步骤 1.利用逻辑转换仪对已知逻辑电路进行分析。 (1)按图1-1连接电路。 图1-1 待分析的逻辑电路 (2)通过逻辑转换仪,得到下图1-2所示结果。 由图可看到,所得表达式为:输出为Y, D'+ABCD CD'+ABC' AB' + D C' BCD'+AB' A' + D BC' A'+ CD B' D'+A' C' B' A' Y

图1-5 经分析得到的真值表和表达式 (3)分析电路。观察真值表,我们发现:当输入变量A、B、C、D中1的个数为奇数时,输出为0;当其为偶数时,输出为1。因此,我们说,这是一个四输入的奇偶校验电路。 2.根据要求,利用逻辑转换仪进行逻辑电路的设计。 问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾推测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才会产生报警控制信号,试设计报警控制信号的电路。 具体步骤如下: (1)分析问题:探测器发出的火灾探测信号有两种情况,一是有火灾报警(可用“1”表示),一是没有火灾报警(可用“0”来表示),当有两种或两种以上报警器发出报警时,我们定义此时确有警报情况(用“1”表示),其余以“0”表示。由此,借助于逻辑转换仪面板,我们绘出如图1-3所示真值表。 图1-3 经分析得到的真值表

模电实验报告

模拟电子技术基础实验报告 姓名:蒋钊哲 学号:2014300446 日期:2015、12、21 实验1:单极共射放大器 实验目的: 对于单极共射放大电路,进行静态工作点与输入电阻输出电阻的测量。 实验原理: 静态工作点的测量就是指在接通电源电压后放大器输入端不加信号(通过隔直电容 将输入端接地)时,测量晶体管集电极电流I CQ 与管压降V CEQ 。其中集电极电流有两种测量 方法。 直接法:将万用表传到集电极回路中。 间接法:用万用表先测出R C 两端的电压,再求出R C 两端的压降,根据已知的R E 的阻值,计 算I CQ 。 输出波底失真为饱与失真,输出波顶失真为截止失真。 电压放大倍数即输出电压与输入电压之比。 输入电阻就是从输入端瞧进去的等效电阻,输入电阻一般用间接法进行测量。 输出电阻就是从输出端瞧进去的等效电阻,输出电阻也用间接法进行测量。实验电路:

实验仪器: (1)双路直流稳压电源一台。 (2)函数信号发生器一台。 (3)示波器一台。 (4)毫伏表一台。 (5)万用表一台。 (6)三极管一个。 (7)电阻各种组织若干。 (8)电解电容10uF两个,100uF一个。 (9)模拟电路试验箱一个。 实验结果: 经软件模拟与实验测试,在误差允许范围内,结果基本一致。 实验2:共射放大器的幅频相频 实验目的: 测量放大电路的频率特性。 实验原理: 放大器的实际信号就是由许多频率不同的谐波组成的,只有当放大器对不同频率的放大能力相同时,放大的信号才不失真。但实际上,放大器的交流放大电路含有耦合电容、旁路电容、分布电容与晶体管极间电容等电抗原件,即使得放大倍数与信号的频率有关,此关系为频率特性。 放大器的幅频特性就是指放大器的电压放大倍数与输入信号的频率之间的关系。在一端频率范围内,曲线平坦,放大倍数基本不变,叫作中频区。在中频段以外的频率放大倍数都会变化,放大倍数左右下降到0、707倍时,对应的低频与高频频率分别对应下限频率与上限频率。 通频带为: f BW=f H-f L 实验电路:

相关主题
文本预览
相关文档 最新文档