当前位置:文档之家› xilinx ISE使用错误和警告

xilinx ISE使用错误和警告

xilinx  ISE使用错误和警告
xilinx  ISE使用错误和警告

xilinx ISE使用错误和警告

(2012-03-22 15:46:49)

转载▼

标签:

xilinx

(1)编dcm时钟控制测试程序时,设置好了ip,例化输出,综合时出现错误

ERROR:Xst:2035 - Port has illegal connections. This port is connected to an input buffer and other components.

查到的解决方法是禁掉自动I/O Buffer insertion 功能,具体的做法是右击synthesize,然后properties->Xilinx Specific Options,把add I/O buffer 的勾去掉,综合通过。但是这样处理了之后在map时又引入了许多的warning,而且还会引发错误。方法出处一会转帖出来。(2)dac8812的控制时序测试时,综合没问题,但是map时出现错误

Pack:198 - NCD was not produced. All logic was removed from design. 其实这个错误的来源是下面的这几个warning导致

MapLib:701 - Signal clk connected to top level port clk has been removed.

MapLib:701 - Signal dad connected to top level port dad has been removed.

以下省略很多这样的warning,这个问题之前一直没注意,后来才知

道问题出在我在问题(1)中的处理,按照同样的流程把add I/O buffer 勾上,综合到route都没什么问题,可见warning也是不能忽略的呀。(3)刚才不知道怎么了,行为仿真的时候出现下面的error

ERROR:HDLParsers:3482 - Could not resolve instantiated unit dacinter in Verilog module work/datest_top in any library

意思好像是找不到我top里例化的模块了,重新添加了一下,好用了。

(4)行为仿真发现没有波形,全是XX或者ZZZ,以前改一下clk 频率就好了,这回这招不好使了,后来尝试改了一下rst的时间点,向后一段时间,发现好用了,仿真用的是ise自带的simulation。(5)综合错误:ERROR:Xst:528 - Multi-source in Unit on signal

大多数时候应该是同一个变量,在两个always模块中赋值了。还查到一些其他情况,一并粘贴:

Solution 1

This error appears when XST determines that there is contention on a particular signal. If the processes assigning values to this signal are mutually exclusive (as in the case of 3-state buffers), this message can be ignored.

However, in most cases, XST is able to determine when multiple drivers are illegal, and will stop synthesis soon after this message.

Check this signal and modify your code to avoid the existing contention.

Solution 2

In some cases, XST ties unconnected output ports to ground. If the output port is part of a 3-state bus, which in turn connects to another 3-state bus, then connecting one bit of the bus to ground will cause a multiple-driver error. Verify that this is not occurring in your design by searching for the following warning:

"WARNING:Xst:1305 - Output > is never assigned. Tied to value 0."

To work around this issue, remove the unused output port.

Solution 3

This has also been seen in the following condition:

When there is an association signal named to_qvm_d4.Q_num.

When using association signal(to_qvm_d4.Q_num), XST will rename it to "to_qvm_d4_Q_num" during synthesis. There is signal named

"to_qvm_d4_Q_num" in the same architecture. XST is confused with these two signals and errors.

To work around this issue, rename either of the two signals.

Solution 4

EDK Designs

For EDK Designs using bidirectional signals DIR=IO and

THREE_STATE=FALSE, the external port name must match the connecting signal name exactly. NOTE: IOB_STATE is deprecated in

future EDK versions.

(6)ERROR:Xst:902 - "dec_seg.v" line 38: Unexpected event in always block sensitivity list.

一个组合电路,综合出错,上网查说是敏感表中不能既有电平又有边沿,而且也不能同一个信号的上升沿和下降沿同时出现,去掉敏感表中的边沿,运行OK了。

(7)map的时候出现错误ERROR:Pack:679 - Unable to obey design constraints (LOC = ...) which require the combination of the following symbols into a single slice component:

检查ucf文件,发现有一个管脚被重复分配,改了一下,运行OK!(8)ERROR:NgdBuild:604 - 'GTP_DUAL_1' could not be resolved,这个错误在translate的时候报,是软件的bug,网上查说ise12.2多发,但是我用的9.1也出了这个,原因大概是ISE只复制了顶层的NGC 文件,即mycpu.ngc,而顶层mycpu还包含其它ngc文件,因此找不到,报错。按照查到的解决办法,有两种。

解决办法1是:在mycpu module前面加上

(* box_type = "user_black_box" *)

如:

(* box_type = "user_black_box" *)

mycpu my_cpu_moudle (.fpga_0_clk_1_sys_clk_pin(sys_clk),

.fpga_0_rst_1_sys_rst_pin(sys_rst_n),

.fpga_0_RS232_RX_pin(uart_rxd),

.fpga_0_RS232_TX_pin(uart_txd),

.led_out_GPIO_IO_O_pin(led_out[0:3]));

办法2是在ISE 的processes栏下,选中Translate,右键process propreties.....

弹出Translate Properties对话框,

在-sd macro search path 中加上EDK工程的implementation子目录的路径就可以了

一开始采用了第一种解决方案,translate通过,但在map时出错。改用第二种,问题是,我用了两个ip core,这个指定的路径只能是一个最直接的目录,所以只能完全解决其中一个core的错误,把两个core 的文件夹里的文件全拷到工程目录中,指定工程目录路径为implementation子目录路径,搞定。

可以忽略的warning

(1)ProjectMgmt - "F:/verilogworks/FPGATESTS/datest_top_map.ncd" line 0 duplicate design unit: 'Module|datest_top'

Xilinx FPGA入门连载1:ISE14.6安装

Xilinx FPGA入门连载1:ISE14.6安装 特权同学,版权所有 配套例程和更多资料下载链接: https://www.doczj.com/doc/924723076.html,/s/1jGjAhEm 1 安装文件拷贝与解压缩 到SP6共享网盘(链接https://www.doczj.com/doc/924723076.html,/s/1jGjAhEm)下的software文件夹下载ISE14.6的安装包。 随意选中前面的某个压缩包,右键单击选择“解压到当前文件夹”。 随后大约需要5-10分钟,解压才能完成。解压完成后,出现如下文件夹。

2 虚拟光驱或解压缩安装 点击进入文件夹“Xilinx.ISE.Design.Suite.14.6”,如图所示。 若用户PC安装了虚拟光驱,则使用虚拟光驱打开“XILINX_ISE_DS_14.6”进行安装。 假设用户PC没有安装虚拟光驱,则按照我们下面的步骤操作。右键单击“XILINX_ISE_DS_14.6”,选择“解压到XILINX_ISE_DS_14.6”,如图所示。 又是5-10分钟的漫长等待,谁让咱们土得连个虚拟光驱都没有捏! 3 ISE14.6安装 安装前面的步骤解压缩后,如图所示。

在着手开始安装前,建议大家把什么乱起八糟的杀毒软件都关一关,免得后面一大堆郁闷问题。 双击上图的最后一个可执行文件“xsetup”,随后便弹出了最基本的ISE安装界面,如图所示。点击右下角的“Next”进入下一步。 如图所示分别勾选“I accept …”和“I also accept…”两个选项,再点击右下角的“Next”进入下一步。

如图所示,勾选最下方的“I accept…”选项,然后点击右下角的“Next”进入下一步。 入下一步。

Xilinx ISE 使用入门

Xilinx ISE 使用入门 1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i 支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。

图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。 3 VHDL设计操作指南 首先进入ISE工程管理器(Project Navigator)界面,如图4.30所示。Project Navigator 是ISE所用集成工具的连接纽带,通过使用Project Navigator,设计者可以创建、组织和管理自己的设计。 图4.28 环境变量设置操作图 3)安装第三方软件

xilinx ise安装教程

《Xilinx ISE 14.2 安装指南》 1. 写在前面的话 到了这个年纪,一个人的路,总是孤独的。型号还有FPGA这一爱好,凭着自己的兴趣,不屈不饶的努力学习,不求有多大的成就,但求能给数字界贡献微薄的一份子。曾经无数的跌倒,曾经无数次的失落,曾经无数次的崩溃,尽管失去总比得到的多,这些都不重要,因为,我一直在努力,像松鼠那么努力! 光阴似箭,玩了大约3年的Altera FPGA,把它当做最亲密的伙伴的同时,我竟然开始玩弄Xilinx FPGA了。。。甚是激动。。。也许知己知彼,百战百殆,但对我而言,每一个都将是我学习的对象,也许是我的兴趣,也许是我的使命,这两者必定在我未来扮演重要的角色。尽然开始读研了,一个人的奋斗,没有找到久违的团队感,一度,我感到很孤独!虽然水平不过如此,见识还是那么的肤浅,能力还是不足,但好歹我终于找到了激情的欲望,也许拿到ZedBoard这一刻起,我又能找回方向,填补孤独的心灵了。 允许,还是老样子,我将会一步一步记录我Xilinx FPGA的学习过程,包括我的设计,思想,灵感;我会将此与Altera FPGA对照着来触摸,深化,我希望能让更多的人了解Xilinx,而不是仅仅局限于Altera FPGA。此刻开始,从新回归到菜鸟水平,“巧妇难为无米之炊”,万事开头难,在收集了一大包相关Xilinx的资料后,咱就开始安家吧—Xilinx ISE 14.2安装指南。

2. Xilinx ISE 14.2软件下载 由于偷懒,省的更换系统后又得下载对应版本的软件,在官网直接下载全功能版本,8.2G,支持Windows & Linux,网址及连接如下所示:

ISE学习教程

1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。

图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

ISE安装步骤

从网上下载测试版软件华中科技大学ftp:211.69.207.25下载 ModelSim.SE.v6.0 仿真工具 Xilinx.ISE.v6.3i.Incl.Keymaker- foundation安装软件 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon- foundation库文件1 Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.Addon.Addon- foundation库文件2 SYNPLICITY_SYNPLIFY_PRO_V7.7 foundation器件综合软件 一、ModelSim.SE.v6.0的安装 双击图标进行安装,然后双击keygenerate产生文件license.dat文件。将其拷贝到modelsim安装的文件夹下。单击我的电脑,在右键中修改环境变量。 加入环境变量LM_LICENSE_FILE,变量值为C:/Modeltech_6.0/license.dat 则modelsim安装成功.还需在modelsim安装的文件夹下拷贝已经编译好的一些库文件,为其后面的处理作好准备,三个库文件为XilinxCoreLib_ver、unisims_ver、simprims_ver。 进入ModelSIM安装文件夹,找到一个名为ModelSIM.ini的文件,将其属性由只度改为存档,在[Library]段的最后加上simprims_ver = $MODEL_TECH/../simprims_ver XilinxCoreLib_ver= $MODEL_TECH/../XilinxCoreLib_ver unisims_ver= $MODEL_TECH/../unisims_ver 目的是让ModelSIM运行时可以自动加载编译好的库文件。 二、Xilinx.ISE.v6.3i.Incl.Keymaker的安装 双击其安装软件进行安装,光盘里有两张光盘,和一个ID产生器,安装两个光盘时,要使用同一个ID。 将Xilinx.ISE.v6.3i.VIRTEX.VIRTEXE.AddXilinx .ISE .v6.3i.VIRTEX.VIRTEXE.Addon.Add 分别解压缩,将解压缩得到的文件夹拷贝到Xilinx安装的目录下(第二个文件只需拷贝最後一个文件) ISE6.2的安装,大体一致,记得有选择set/update时全选,不然有些功能无法适用,至于升级,打开edit后,在perference中去掉就可以了。 如果你装了防火墙,如天网、瑞星,第一次启动时,要一直允许ise访问网络,不然会抱错的。 经试验,最好安装在winXP系统下。 三、SYNPLICITY_SYNPLIFY_PRO_V7.7的安装 将其完全解压后进行安装,其中的license要选择使用本机的license,然后用解压后的 license.txt覆盖SYNPLICITY目录下的license,用解压后的覆盖 Synplicity\Synplify_77\bin\mbin目录下的同名文件。 发现环境变量改变为SYNPLICITY_LICENSE_FILE,变量值为C:\Synplicity\license.txt。软件整体安装成功!

Xilinx ISE 使用入门手册

Xilinx ISE使用入门手册1 发布日期:2009-3-613:06:10文章来源:搜电浏览次数:2940 1、ISE的安装 现以ISE5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE5.2i 支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25ISE5.2i安装界面

(2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。 图4.26器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

Spartan-14.7平台与ISE软件的入门

Spartan-3平台与ISE软件的入门 一、快速浏览Spartan-3E Starter Kit的用户指南,便于以后进行内容查找。 中文用户指南:Spartan-3E Starter Kit Board User Guide.mht 英文用户指南:Spartan-3E Starter Kit Board User Guide.pdf 二、ISE软件安装。 根据资料自学完成安装(在个人电脑上),ISE推荐版本:14.7。注意需要破解(即提供license)。 三、ISE软件的初次使用。 在Spartan-3E开发板上,使用ISE软件,完成一个最基本工程的建立、编程、仿真、下载实现。 3.1 1)运行ISE Design Suite软件,界面如下

File New Project a)设置顶层文件类型Top-level source type为Schematic(原理图)

b)选择工程的保存位置Location和工作目录Working Directory 为fpgaxunlian c)为工程取名,如:xunlian1 点击Next 进行下述设置

根据观察我们使用的Spartan 3E开发板上的FPGA芯片上的字,可知目标FPGA芯片的属性如下 芯片系列(Family):Spartan3E 芯片型号(Device):XC3S500E 芯片封装(Package):FG320 速度等级(Speed):-4(注:XILINX的FPGA,值越大,速度等级越高) 综合工具(Systhesis Tool)选择ISE自带的XST 仿真工具(Simulator)选择ISE自带的Isim 编程语言(Preferred Language)选择Verilog 然后,点击Next

产品包装系统设计流程

产品包装系统设计流程指导 一、项目概述 1.确定包装项目名称:***产品***设计包装(创新设计、改进设计、适应设计) 2.确定项目目标及要求 (1)项目目标。确定为***产品设计全新(还是改进)包装,实现何种目标,即说明为何采用这种包装。(2)项目要求。通过这次设计要满足何种要求。 3.编写计划书 (1)项目基本概况 (2)项目成员及分工情况 (3)总体进度安排 二、包装创意设计 1.确定项目类别 确定设计是完全创新设计、改进设计、还是适应设计。 2.设计定位 确定该设计是侧重装潢设计,还是结构设计。 3.设计创意 确定产品包装结构创意:木箱、纸箱(盒)、内衬(隔板)、托盘等的结构; 确定产品包装装潢创意:颜色、图案、文字等。 以上每类设计创意1个,以共同组人员进行讨论。 4.可行性评价 项目组成员根据项目情况,由成员对设计创意进行评价打分;对创意重新审定和修改。 三、包装设计 1.储运包装设计 (1)储运环境调研。确定采用何种运输方式、储运环境的湿度、温度、跨域、等效跌落高度等。 (2)产品特性分析。结构特点、尺寸、材质、重量、运输要求等。 (3)缓冲防护包装设计。 防冲击、防振动包装设计;这部分的设计包括两部分:①集合储运包装设计。瓦楞纸箱箱内装入若干个产品的集合储运包装设计,主要设计瓦楞纸箱、隔板及其他辅助物的结构,提出1种方案。②单件储运包装设计。为单个产品设计储运包装,主要设计缓冲防振包装结构,提出1种方案。 (4)包装规格设计。包装的尺寸、规格等是否符合国家标准尺寸。

2.销售包装设计 平面装潢设计(外包装箱上的图案、文字、颜色以及运输包装标志等)。 四、产品包装样品制作 1.制作包装样品 单件储运包装和集合储运包装均需要利用打样机打样出产品包装样品(展开图),并折叠成型; 2.修正包装结构 根据样品成型存在的问题,对包装结构(单件储运包装)进行修改,并重新制作样品。 五、包装测试(单件储运包装) 1.振动测试 制作好的包装样品装入产品后,进行振动测试(定频、扫频、随机振动测试);观察包装内产品及包装的变化。 2.抗压测试 测试包装抗压性能,观察包装破坏时产生的变形量和力值。 3.跌落测试 测试包装抗冲击性能,观察包装跌落时包装箱级产品产生的变形部位及变形量。 4.测试结果分析 根据测试结果分析包装存在问题,提出解决方案,重新修订包装结构。 六、成本核算 分别进行:单件储运包装和集合储运包装的成本核算。要求:包装成本的计算(包括包装材料费、操作工人和技术工人的工资、设备的折旧费和修理费、模具费用、设备动力费用等)、包装箱损失率及摊薄费的计算、装柜率(即:选用的标准集装箱可容纳产品的容积率)的计算,找到包装系统平衡点,并进行对比分析。 七、最终方案发布及成果提交 1.方案发布 采用答辩的形式,制作PPT发布最终设计方案,介绍设计过程,每人分部分讲解。答辩时间:每组30分钟,其中陈述20分钟,老师提问10分钟。 2.提交材料 (1)设计说明书纸质一份上交,电子版说明书发至以下2个邮箱:jruicai@https://www.doczj.com/doc/924723076.html,、lxg676@https://www.doczj.com/doc/924723076.html,,文件名称格式为:学号-姓名-(*)组。 (2)设计图纸

基于Xilinx-ISE-12.4的FPGA使用例子

基于ISE 12.4的FPGA设计基本流程 关键字:FPGA XILINX ISE 12.4ISE设计流程时序仿真 信息化调查找茬投稿收藏评论好文推荐打印社区分享 ISE是使用XILINX的FPGA的必备的设计工具,它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。本文主要通过一个最简单的“点亮LED灯”实例介绍了基于ISE 12.4软件的FPGA设计流程,包括设计输入、仿真、约束、下载等。 0 前言 一套完整的FPGA设计流程包括电路设计输入、功能仿真、设计综合、综合后仿真、设计实现、添加约束、布线后仿真和下载、调试等主要步骤。 图1 FPGA设计流程 目前赛灵思公司FPGA设计软件的最新版本是ISE 13,由于笔者暂未用到该版本,本文中以ISE 12.4为例。 ISE 9以后的版本的安装文件都是集成到了一个包当中,安装起来很方便。软件包里面包含四个大的工具,ISE Design Tools、嵌入式设计工具EDK、PlanAhead、Xtreme DSP设计工具System Generator。ISE设计工具中包含ISE Project Navigator、ChipScope Pro 和以下工具: 图2 ISE软件包中部分工具 做一般的FPGA逻辑设计时只需要用到ISE设计工具,下面通过一个最简单的“点亮LED 灯”实例,具体讲解ISE设计工具的使用,并介绍基于ISE的FPGA设计基本流程。 1 创建工程 (1)在桌面快捷方式或开始→所有程序→Xilinx ISE Design Suite 12.4→ISE Design Tools中打开ISE Project Navigator。 (2)单击File→New Project...出现下图所示对话框。

ISE12.4教程

0 前言 一套完整的FPGA设计流程包括电路设计输入、功能仿真、设计综合、综合后仿真、设计实现、添加约束、布线后仿真和下载、调试等主要步骤。 图1 FPGA设计流程 目前赛灵思公司FPGA设计软件的最新版本是ISE 13,由于笔者暂未用到该版本,本文中以ISE 12.4为例。 ISE 9以后的版本的安装文件都是集成到了一个包当中,安装起来很方便。软件包里面包含四个大的工具,ISE Design Tools、嵌入式设计工具EDK、PlanAhead、Xtreme DSP设计工具System Generator。ISE设计工具中包含ISE Project Navigator、ChipScope Pro 和以下工具: 图2 ISE软件包中部分工具 做一般的FPGA逻辑设计时只需要用到ISE设计工具,下面通过一个最简单的“点亮LED 灯”实例,具体讲解ISE设计工具的使用,并介绍基于ISE的FPGA设计基本流程。 1 创建工程 (1)在桌面快捷方式或开始→所有程序→Xilinx ISE Design Suite 12.4→ISE Design Tools中打开ISE Project Navigator。 (2)单击File→New Project...出现下图所示对话框。

图3 新建工程对话框 在该界面输入工程名、选择工程存放路径、选择顶层模块类型,其中顶层模块类型有硬件描述语言(HDL)、原理图(Schematic)、SynplifyPro默认生成的网表文件(EDIF)、Xilinx IP Core和XST生成的网表文件(NGC/NGO)这四种选项,这里我们使用Verilog模块作为顶层输入,所以选HDL。 (3)单击Next >进入下一步,弹出下图所示对话框。

ISE教程

光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击“Next”进入下一页。 图4-1 ISE安装过程的欢迎界面 2.接着进入注册码获取、输入对话框,如图4-2所示。注册码可以通过网站、邮件和传真方式申请注册码。如果已有注册码,输入后单击“Next”按键后继续。

图4-2 ISE9.1安装程序的注册码输入界面 购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。首先在Xilinx的官方主页 https://www.doczj.com/doc/924723076.html,上建立自己的帐号,然后点击图4-1中的“Website”按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。 3.下一个对话框是Xilinx软件的授权声明对话框,选中“I accept the terms of this software license”,单击“Next”后进入安装路径选择界面,如图4-3所示。单击“Browse”按键后选择自定义安装路径,单击“Next”按键继续 图4-3 ISE软件安装路径选择对话框 4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。在计算机硬盘资源不紧张的情况下,通常选择“Select All”。

图4-4 ISE安装组件选择界面 评论[支持者: 0 人,反对者: 0 人,中立者: 0 人] 查看评论信息 2008-11-26 20:53:00 第3楼 小大 个性首页| QQ 5.随后进入设置环境变量页面,保持默认即可。如果环境变量设置错误,则安装后不能正常启动ISE。选择默认选项,安装完成后的环境变量,其值为安装路径。最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示

产品包装设计的一般流程

产品包装设计的一般流程 产品的包装可以第一时间决定该产品是否赢得消费者的青睐所以一个成功的产品包装必定会影响到产品的生存。设计是基于某种构思对形状、装饰、色彩三者加以适当的处理为引起人们的美感及注意而进行的有意识的创造与运筹的表现。包装设计的概念和含义随着研究和服务对象的不同而有所不同广义地说产品的包装设计是针对包装三大功能——保护产品、方便使用、促进销售而进行的设计活动。设计工作应包括产品的防护设计如缓冲包装设计、防潮等功能性包装设计、结构与工艺设计如集合包装、组合包装设计等和包装产品的造型装潢设计。从狭义上来说包装设计仅包括包装结构、造型和装潢设计三个主要内容但三者之间不是简单的堆砌和相加而是相互联系、相互作用的有机组合。近年来包装行业广泛认同的包装设计是面向产品的整体包装解决方案一般包括被包装物的特性和包装要求分析、产品流通环境的分析、包装设计的定位、包装材料与包装形式的确定产品的包装装潢、包装促销、包装样品制作与评价以及产品包装工艺与设备的确定等。由此可见产品包装整体解决方案实质上包括了广义包装设计的内涵同时还涉及其他领域的内容。彩箱彩盒设计原则商品包装主要分为运输包装和销售包装两大类。销售包装是与消费者直接见面和使用的包装。除使用性能外还应有很强的艺术性它的造型和结构设计要符合“科学、美观、适销”的要求。在设计时既要考虑结构上的科学合理问题更要考虑到造型、装潢上的美观。优秀的包装造型、结构设计不仅能容纳和保护商品美化商品促进商品的销售而且还应该便于携带、使用、展销和便于运输。设计时首先应满足其整体设计要求其次应兼顾结构设计、造型设计和装潢设计的关系即结构设计要根据被包装产品的特性、环境因素和用户要求等。合理地设计纸盒的盒盖、盒底、黏合襟片、防尘襟片等组件。既要满足整体设计的原则还应与造型和装潢设计的要求相协调造型与装潢设计应从美学角度出发强调包装的显示陈列性。除此之外尺寸和强度设计也是纸箱纸盒设计中的一个重要环节它不仅影响到产品的外观质量而且还关系到生产及流通成本。结构设计造型和结构设计是商品包装的一个重要组成部分。包装结构设计是从包装和生产实际条件出发依据科学原理对包装的外形构造及内部附件进行的设计。设计时必须保证结构有足够的强度、硬度及抵抗其他环境的能力要从两点进行考虑一是保护产品的首要功能二是满足现代包装的重要特征如运输、加工特性等。合格的纸箱产品从正确的结构设计开始。设计人员不仅要真正地理解客户的意图还要熟悉后道加工工艺只有这样才能把客户的意图转化为合格的产品并能保证产品的后序加工顺利进行。设计人员在设计开始前要了解内装物的性质、形状、尺寸、重量内装物的排列方式、运输方式、堆码方式仓储环境、运输路线和时间以及纸箱箱型和制作材料等信息。当确定了各种信息之后还要了解所要求设计的纸箱侧重于运输型纸箱还是销售型纸箱。销售型纸箱属于商业包装范畴侧重于纸箱的外观形象并且在设计时需要考虑印刷工艺方面的要求。运输型纸箱则属于工业包装范畴更多地需要考虑纸箱的抗压、防震等要求要在保证不影响其强度的前提下设计开口、模切的位置。因其重复使用较多还需要考虑到装箱的效率以及工厂的生产效率。当确定出要求设计的纸箱箱型时就可以开始进行设计工作了设计的时候必须注意以下几个问题。强度问题楞型的设计是强度设计的首要内容纸板有单瓦楞和多层瓦楞之分每层又有a、b、c几种楞型可以选择先根据设计前的了解进行安排。出口的纸箱在设计箱型时要考虑到运输途中的天气及运输条件。作为大件商品包装瓦楞纸箱在

赛灵思ISE设计流程介绍

The ISE? design flow is shown in the following figure and described in the following sections. Note The following sections provide links to additional Help topics. In the Help Viewer, click the Synchronize TOC button to view all related Help topics. Design Creation During design creation, you create an ISE project and then, create or add source files to that project. ISE projects can contain many types of source files and design modules, including HDL, EDIF/NGC netlist, schematic, intellectual property (IP), embedded processor, and Digital Signal Processing (DSP) modules. For more information, see the following topics: Understanding the ISE Project File Design Entry Overview Working with Source Control Systems Synthesis During synthesis, the synthesis engine compiles the design to transform HDL sources into an architecture-specific design netlist. The ISE software supports the use of Xilinx Synthesis Technology (XST), which is delivered with the ISE software, as well as third party synthesis tools, including Synplify, Synplify Pro, and Precision software. For more information, see the following topics: XST Synthesis Overview Using Synplify or Synplify Pro Software for Synthesis Using Precision Software for Synthesis

Xilinx_FPGA下载烧写教程

Step1 学习下载配置Xilinx 之FPGA 配合Mars-EDA 的Spartan2 核心板,用图文方式向大家详细讲述如何下载配置Xilinx 的FPGA。 FPGA 下载模式说明 S1 为 FPGA 下载模式选择跳线,M0,M1,M2 默认状态为通过 4.7K 电阻上拉到 3.3V,当用跳线帽短接 S1 的PIN3 和PIN6 将置 M0 为0,同理,短接 PIN2 和PIN5,PIN3 和PIN4 将置 M1,M2 为0。M0,M1,M2 的电平和 FPGA 下载之间的关系参见下图:(Boundary-scan mode)是调试最常用的 JTAG 模式。当采用板载 PROM 时,采用的跳线模式是 Master Serial Mode –短路 PIN1 和PIN6,PIN2 和PIN5 J2 为Slave Serial Mode 的接口。下载模式跳线 S1 短接PIN1 和PIN6,PIN2 和PIN5 即可。 J3 为 JTAG Mode 的接口。下载模式跳线短接 PIN1 和 PIN6 即可。

S2 跳线说明 1.通过 JTAG 方式烧录 FPGA:短接 PIN1 和PIN3。 2.通过 JTAG 方式烧录 PROM:短接 PIN3 和PIN5,PIN2 和PIN4. 3.通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和PIN5,PIN4 和PIN6. 下面我们利用 Spartan2 核心板介绍一下通过 JTAG 菊花链方式下载 FPGA 和烧录 PROM. 硬件跳线选择: 1.跳线 S1: 此时要求 M0 M1 M2 = 100, 设置 FPGA 的下载方式为 Boundary-scan mode, 此时将 M1 和M2 的跳线安上。 跳线 S2 : 通过菊花链下载 FPGA 和烧录 PROM:短接 PIN3 和 PIN5,PIN4 和 PIN6. 2.将 Xilinx 下载线和核心板上 JTAG mode 接口 J3 相连,连接到计算机并口。核心板上电。

Xilinx ISE 使用入门手册

Xilinx ISE 使用入门手册 发布日期:2009-3-6 13:06:10文章来源:搜电浏览次数:6414 1、ISE的安装 现以ISE 5.2i为例介绍Xilinx ISE Series的安装过程。 1)系统配置要求 ISE 5.2i推荐的系统配置与设计时选用的芯片有关。因为在综合与实现过程中运算量非常大,所以对系统配置要求很高。为了提高综合、仿真、实现过程的速度,对于计算机的CPU的主频、主板和硬盘的工作速度,尤其是内存大小配置都有非常高的要求。在ISE 5.2i支持的所有Xilinx的FPGA/CPLD中,要求最低的Spartan II和XC9500/XL/XV 等系列需要的内存和虚拟内存推荐值均达到128MB,而对于Virtex-II XC2V8000来说,需要的内存和虚拟内存推荐值均高达3GB。 2)ISE 5.2i的安装 以中文版Windows XP操作系统为例加以说明。 (1)启动Windows XP,插入ISE5.2i安装光盘,自动或选择执行Install.exe,安装界面如图4.25所示。 图4.25 ISE5.2i安装界面 (2)单击此时安装界面上的操作选择“下一步”直到出现图示对话框,输入有效的Registration ID。之后单击“下一步”

选择安装路径;再之后点击“下一步”,会弹出图4.26的对话框,可以选择器件模型。 图4.26 器件模型选择对话框 (3)点击“下一步”,如图4.27所示,可以选择器件种类。

图4.27 器件种类选择对话框 通过以上步骤后,可根据具体情况来选择,继续“下一步”即可完成安装。 安装完成后,环境变量应作如下描述: 若操作系统是Windows NT/2000/XP,选择开始->控制面板->系统->选项->系统->高级->环境变量,在环境变量中加入: 变量名:Xilinx 变量值:C:Xilinx(即安装路径) 具体设置如图4.28所示。

xilinx ISE时序约束笔记-中文

时序约束的两个步骤: 1.路径终点生产groups(顾名思义就是进行分组) 2.指点不同groups之间的时序要求 全局约束使用默认的路径终点groups——即所有的触发器、I/O pads等ISE时序约束笔记2——Global Timing Constraints 问题思考 单一的全局约束可以覆盖多延时路径 如果箭头是待约束路径,那么什么是路径终点呢? 所有的寄存器是否有一些共同点呢? 问题解答 什么是路径终点呢? ——FLOP1,FLOP2,FLOP3,FLOP4,FLOP5。 所有的寄存器是否有一些共同点呢? ——它们共享一个时钟信号,约束这个网络的时序可以同时覆盖约束这些相关寄存器间的延时路径。 周期约束 周期约束覆盖由参考网络钟控的的同步单元之间的路径延时。

周期约束不覆盖的路径有:input pads到output pads之间的路径(纯组合逻辑路径),input pads到同步单元之间的路径,同步单元到output pads之间的路径。 周期约束特性 周期约束使用最准确的时序信息,使其能够自动的计算: 1.源寄存器和目的寄存器之间的时钟偏斜(Clock Skew) 2.负沿钟控的同步单元 3.不等同占空比的时钟 4.时钟的输入抖动(jitter) 假设: 1.CLK信号占空比为50% 2.周期约束为10ns 3.由于FF2将在CLK的下降沿触发,两个触发器之间的路径实际上将被约 束为10ns的50%即5ns

时钟输入抖动(Clock Input Jitter) 时钟输入抖动是源时钟的不确定性(clock uncertainty)之一 时钟的不确定时间必须从以下路径扣除: ——周期约束建立时间路径 ——OFFSET IN约束的建立时间路径 时钟的不确定时间必须添加到以下路径中: ——周期约束保持时间路径 ——OFFSET IN约束保持时间路径 ——OFFSET OUT约束路径 Pad-to-Pad约束 ——不包含任何同步单元的纯组合逻辑电路 ——纯组合逻辑延时路径开始并结束于I/O pads,所以通常会被我们遗漏而未约束 ISE时序约束笔记3——Global Timing Constraints 问题思考 哪些路径是由CLK1进行周期约束? 哪些路径是由pad-to-pad进行约束?

关于Xilinx ISE简单使用方法介绍

关于Xilinx ISE(14.2)简单使用方法介绍 安装ISE软件基本上是一路点击鼠标就是,但必须安装注册表文件,可在网上查找,可能是一个生成注册表文件或注册表文件(license)。功能仿真是在设计输入后进行;时序仿真是在逻辑综合后或布局布线后进行。(系统差不多占20GB硬盘) 1.创建工程文件(New Projiect) File New Projiect 。如输入文件名:Two2One。 设置一些参数,如下图所示:(注意:使用的是BASYS2板) 在上图点击Next键,弹出如下窗口,设置一些参数,如下图所示:(注意:使用的是BASYS2板)

2.创建资源文件(New Source) Project→New Source。如输入文件名:One2Two。 选择模型,如Verilog Module,输入HDL语言; 或输入原理图。 或Project→Add Source,,增加已存在的资源文件(*.v)。实例:二选一电路。 点击Next键,弹出如下窗口,

弹出参数信息窗口:

参数表:

之后保存文件。 文本: module Two2One( input [7:0] x_in, input flag, output [7:0] y_out, ); assign y_out = flag ? x_in : 8'b00000000; endmodule 3.程序语法检查 如下图所示: 或者:

4.创建测试文件(功能仿真数据的建立) Project New Source。如输入文件名:Two2One_tf(*.v)。 选择,如Verilog Test Fxiture,建立用于测试以上资源文件(电路)用的数据文件。建立 不同时间段的输入数据,用于产生相应时段的输出波形。

fpGa_CPLD设计工具xilinxISE使用详解

1.第一章:FPGA/CPLD简介 ●FPGA一般是基于SRAM工艺的,其基于可编程逻辑单元通常是由查找表(LUT, look up table)和寄存器(register)组成。其中内部的查找表通常是4输入的,查找表一般完成纯组合逻辑功能; ●Xilinx可编程逻辑单元叫做slice,它由上下两部分组成,每部分都由一个register 加上一个LUT组成,被称为LC(logic cell,逻辑单元),两个LC之间有一些共用逻辑,可以完成LC之间的配合工作与级连; ●Altera可编程逻辑单元叫做LE(Logic Element,逻辑单元),由一个register加上 一个LUT构成;Lattice的底层逻辑单元叫做PFU(programmable Function unit,可编程功能单元),它由8个LUT和9个register组成。 ●Ram和dpram/spram/伪双口RAM,CAM(content addressable memory)。Fpga中其 实没有专业的rom硬件资源,实现ROM是对RAM赋初置,并且保存此初值 ●CAM,即内容地址储存器,在其每个存储单元都包含了一个内嵌的比较逻辑,写 入cam的数据会和其内部存储的每一个数据进行比较,并返回与端口数据相同的所以内部数据的地址。总结:RAM是一种根据地址读/写数据的存储单元;而CAM 和RAM恰恰相反,它返回的是与端口数据相匹配的内部地址。使用很广,比如路由器中的地址交换表等等 ●Xilinx块ram大小是4kbit和18kbit两种结构。Lattice块ram是9kbit ●分布式ram适合用于多块小容量的ram的设计; ●Dll(delay-locked loop)延迟锁定回环或者pll(phase locked loop)锁相环,可以用 以完成时钟的高精度,地抖动的倍频/分频/占空比调整/移相等功能。Xilinx主要集成的是DLL,叫做CLKDLL,在高端的FPGA中,CLKDLL的增强型模块为DCM (digital clock manager,数字时钟管理模块)。生成的方式有两种:1.在HDL代码和原理图中直接实例化。2.在IP核生成器中配置相关参数,自动生成IP。 ●Cpu和dsp处理模块的硬件主要由一些加/乘/快速进位链,pipelining和mux等结构 组成的,加上用逻辑资源和块ram实现的软核部分组成强大的软件计算中心。比较适合实现FIR滤波器/编码解码器和FFT等运算。 ●Fpga的开发流程:1.电路设计与输入,自顶而下设计,利于模块的划分与复用,可 移植性好,通用性好,设计部因为芯片的工艺和结构的改变而改变,更利于向ASIC 的移植。这通常使用verilog语言来设计。2.功能仿真:电路设计完成后,要用专应的仿真工具对设计进行功能仿真,验证电路是否符合设计的要求。也叫前仿真,优点:能及时发现设计中的错误,加快设计进度,提高设计的可靠性。3.综合优化:(synthesize)是指将hdl语言,原理图等设计输入翻译成由与/或/非门/ram/触发器等基本逻辑单元组成的逻辑连接(网表),并根据目标与要求(约束条件)优化所生成的逻辑连接,输出edf和edn等文件。4.综合后仿真:仿真时,把综合生成的延时文件反标到综合仿真模型中去。5:实现:使用FPGA/CPLD厂商提供的工具软件,根据所选芯片型号,将综合输出的逻辑网表适配到具体的FPGA/CPLD器件上,这个过程叫做实现(implementation)过程。其中xinlinx的实现过程分为翻译(translate)/映射(map)和布局布线(place and route)的三个步骤。因为只有器件开发商最了解器件内部的结构,所以实现步骤必须选用器件开发商提供的工具。 6.时序仿真与验证:布局布线后首先应该做时序仿真,静态时序分析STA(static timing analyzer)7.调试与加载配置iMPACT与BitGen集成起来。 ●增量式综合和增量式布局布线(Incremental design)流程:增量是综合是在综合过 程仅对修改过的模块进行重新编译,保持为改变设计的原有综合结果。增量是布局

xilinx平台DDR3设计教程之仿真篇_中文版教程

想做个DDR设计不?想还是不想? 你要知道FPGA这种东西,片内存储资源终究有限,实在谈不上海量存储。 万一哪天你想要海量存储数据了咋办? 你是不是得用DRAM条子啊? 什么?你还想用SRAM?今年已经2013年了童鞋~ 关于DRAM,或许是SDRAM,或许是DDR1(再次提醒你,2013年了已经), 或许是DDR2或者DDR3。 这些条子都有一套控制协议,这套协议对不同的条子大同小异,但是里面 又有各种细节的区别,这些你都搞懂了吗? 没搞懂? 其实,你不需要搞懂。 现在的EDA设计不需要你从基础知识开始研究。 这个时代,你要生存要发展,最佳的办法是站在巨人的肩膀上,而不是亲自长成 个巨人。 DDR设计太常用了,只要你在搞FPGA,自然有人给你搞定一套IP,免费的给你用。你不会还想自己从底层写起吧? 多花些时间在没有免费IP用的协议合算法上吧。

现在进入正题:我刚刚讲的免费IP,在哪里?怎么用的? (小白问题,IP是什么,IP地址吗?) 这里的IP就是Intelligence Property 说白了就是xilinx里的core gen (对应于altera里面的mega wizard) 这个文档就举一个例子来讲,选哪家呢? 本人是xilinx和altera都来一个? 条子选啥?SDR?DDR1? 各种条子全都写一套? (你以为写这个文档容易吗,是不是要连chipscope怎么用也一起出个文档啊? 全部都写一套可以,先往我账户上打五千块钱,然后我再考虑考虑。 记住这个世界上没有白吃的午餐,你要看白痴都能看会的DDR教程, 你就得听我在这里唠叨) 本教程选择一个例子来讲,那就是xilinx平台下用DDR3(常见的笔记本内存条) 接下来是你玩转这个教程所必须要准备的工具: xilinx ISE 14.1或者更高版本 (不好意思,比14.1还低的版本我没试过。vivado当然也可以,不过我是用的ISE)modelsim SE 6.6a或者更高版本 (更低版本我负责的告诉你不可以,因为无法正常生成编译库, 所以,6.5版本或者更低的你干脆就别装了)

相关主题
文本预览
相关文档 最新文档