当前位置:文档之家› 数电课程设计..

数电课程设计..

数电课程设计..
数电课程设计..

2011-2012学年第二学期

数字电子技术基础课程设计

学院材料与能源学院

姓名

专业

班级

指导教师陈国鼎

2012年6月 22日

交通灯控制电路设计

一、设计目的

1.掌握交通灯控制电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计任务与要求

1.用红、绿、黄三色发光二极管作信号灯。

2.当主干道允许通行亮绿灯时,支干道亮红灯,主干道亮绿灯时间为30秒。而支干道允许通行亮绿灯时,主干道亮红灯,支干道亮绿灯20秒。

3.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,设置5s计时显示电路。主干道亮黄灯的时候支道亮红灯,同样,支干道亮黄灯的时候主干道亮红灯。

4.主干道和支干道分别设置2组LED数码管以倒计时的方式显示当前灯亮的时间。

三、原理电路和程序设计

(1)方案比较

方案一:用数字电子技术来实现交通灯控制

交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中:TL:表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

图1系统的原理框图交通灯控制器

(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行,支干道禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。

(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。黄灯亮足规定时间间隔TY时,控制器发出状态转换信号ST,转到下一工作状态。

(3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。

(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上位过县停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表1、2所示,控制器应送出主、支干道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:

控制状态信号灯状态车道运行状态S0(00)主绿、支红主干道通行,支干道禁止通行S1(01)主黄、支红主干道缓行,支干道禁止通行S3(11)主红、支绿主干道禁止通行,支干道通行S2(10)主红,支黄主干道禁止通行,支干道缓行AG=1主干道绿灯亮支干道通行BG=1支干道绿灯亮支干道通行AY=1主干道黄灯亮主干道缓行BY=1支干道黄灯亮支干道缓行AR=1主干道红灯亮主干道禁止通行BY=1支干道红灯亮支干道禁止通行。如表1。

数码管显示电路

192倒计时

电路160分频电

555多谐振

荡器

主控逻辑

电路

主干道红

绿指示灯

支干道红

绿指示灯图2. 交通控制灯电路设计

如图1所示为交通控制电路设计方案图,根据概述中的设计思想及方法来实现下图的交通指示灯状态转换图中描述的指示灯的转换及每种状态维持的时间。

(2)方案二:用单片机技术来实现交通灯控制

(3)采用单片机AT89C51来设计并制作,这种方案的硬件较少,基

本上设计没什么难度,用单片机来实现交通灯控制是最容易实现的,而且该电路可靠性也很高,但是这是要求设计者要有单片机编程的基础上才能完成设计。由于单片机方面的知识还没学,故此方案缺乏知识基础。综上所述,选择方案一。

四、整体电路(画出必要波形图);

(2)单元电路设计;

1)主控制器

主控电路是本课题的核心,主要控制30s、20s、5s三个定时器,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。

主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态:

主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S0;

主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S1;

主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S2;

主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S3。

四种状态的转换关系如图5:

图5交通灯控制状态转换图

本次设计使用2个74LS112JK触发器表达上述四种状态的分配和转换。它的管脚图和逻辑功能图如下:

主绿灯

支红灯

主黄灯

支红灯

主红灯

支绿灯

主红灯

支黄灯

已过5s

S

S

1

S

2

S

3

未过30s

已过30s

已过20s

未过20s

s

5 s

5 s

已过5s

2)计时器电路

这些计时器除需要秒脉冲作时钟信号外,还应受主控器的状态的控制。例如30s计时器应在主控器进入S0状态(主干道通行)时开始计时,同样20s计时器必须在主控器进入S2状态时开始计数,而5s计时器则要在进入S1或S3状态时开始计数,待到规定时间分别使计数器复零。设计中计数器可以采用两个十进制计数器级连成三十进制计数器,为使复零信号有足够的宽度,可采用基本RS触发器组成反馈复零电路。按同样的方法可以设计出20s和5s计时电路,与30s 计时电路相比,后两者只是控制信号和反馈信号的引出端不同而已。

此次设计采用了2个74HC190D计数器级连成三十进制计数器来实现计时,它的管脚图和逻辑功能如下:

3)显示译码驱动电路

(1)信号灯译码电路

主控器的四种状态分别要控制主、支干道红黄绿灯的亮与灭。令灯亮为“1”,灯灭为“0”,

主干道红黄绿等分别为R、Y、G,支干道红黄绿等分别为r、y、g,则信号灯译码电路真值表为:

输入输出

S Q1Q0R Y G r y g

S0 0 0 0 0 1 1 0 0

S1 0 1 0 1 0 1 0 0

S2 1 0 1 0 0 0 0 1

S3 1 1 1 0 0 0 1 0

由真值表进一步得到各灯的逻辑表达式,为了实现各灯的逻辑表达,本次设计用了2个74HC08D实现四个与门功能和2个74HC32D实现两个或门功能。

(2)计时显示译码电路

计时显示实际是一个定时控制电路,当30s、20s、5s任一计数器计数时,在主支干道各自可通过数码管显示出当前的计数值,计数器输出的七段数码显示用BCD码七段译码器驱动即可。设计中,使用了2个74LS48D译码器来实现。它的管脚图和逻辑功能如下:

74LS48D 是由与非门、输入缓冲器和7 个与或非门组成的BCD-7 段译码器/驱动器。输出是高电平有效。7 个与非门和一个驱动器成对连接,以产生可用的BCD 数据及其补码至7个与或非译码门。剩下的与非门和 3 个输入缓冲器作为试灯输入端、灭灯输入/动态灭灯输出端及动态灭灯输入端。该电路接受 4 位二进制编码—十进制数(BCD)输入,并根据辅助输入的状态,将这些数据译成驱动其它元件的码。LS48 有前、后沿自动灭零控制。当端处于高电平时,试灯可以在任何时刻去进行。电路并有灭灯输入,可用来控制灯亮度或禁止输出。LS48 在应用中可以驱动共阴极的发光二极管或灯缓冲器。

4)时钟信号发生器电路

555组成的多谐振荡器可以用作各种时钟脉冲发生器,此次设计就是555定时器构成多谐震荡器,震荡频率为:f=1.43/(R1+2R2)C

电路图如下图:

秒脉冲产生器

(4)说明电路工作原理;

(5)元件选择。元件购买清单如下:

74ls112d 1

74ls08d 1

74ls32d 1

74ls04d 1

74ls190d 2

74ls48d 2

5101as共阴数码管 2

红黄绿三色 LED 6

300欧姆电阻 14

杜邦线、导线若干

万用板 1

NE555芯片 1

电容10uF、0.01uF 各1个

2k电位器 1个

47k欧姆电阻 6个

四、电路和程序调试过程与结果:

1、根据题目的要求,整个交通灯控制系统需要有2个时间显示器,6个交通信号灯。

2、电路默认把通车时间设为30秒,打开总开关,主干道的绿灯亮;支干道的红灯亮,示波器显示时间为30秒;然后黄灯以每一秒的速度闪亮,主干道的绿灯转换为黄灯,而且黄灯每秒闪一次,其余灯都不变。再过5秒后,电路又转换成预置的20秒,主干道的黄灯转换为红灯,支干车道的红灯转换为绿灯。如此循环下去。具体时间见下图:

数字电路课程设计报告(好)

天津职业技术师范大学电子工程学院电子技术之---万用表课程设计报告 同组学生姓名(学号):寡人猪八戒 任务分工::查阅资料设计电路并进行电脑仿真, 焊接电路并参与电路的安装与调试 负责撰写课程设计及实验总结的工作 设计时间:2012年04月28日——2012 年05月04日 指导教师: 一、课程设计的目的与要求(含设计指标) 1、设计目的 (1)了解ICL7107芯片工作原理及应用。 (2)能够使用电路仿真软件进行电路调试。 (3)掌握电子系统的一般设计方法。 (4)培养综合应用所学知识来指导实践的能力。 (5)掌握常用元器件的识别和测试。 (6)熟悉常用仪表,了解电路调试的基本方法。 2、设计要求 (1)提出具体方案 (2)给出所设计电路的原理图。 (3)进行电路仿真设计 (4)设计电路所需的直流电源。 (5)用分立元件和运放设计的万用表电路要求先用multisim进行电路仿真分析,仿真结果正确后,在进行安装调试。 3、设计指标 直流电压表:满量程+6V; 直流电流表:满量程10mA; 交流电压表:满量程6V,50HZ~1KHZ; 交流电流表:满量程10mA;

欧姆表:满量程分别为1KΩ,10KΩ,100KΩ 三、方案论证及选择 设计万用电表,总体来说有两种设计方案,来源于万用电表的指针式和数字式的两种类型。指针式万用电表,需有表头,同时主要用HA17741,LM324N等芯片,借助集成运放实现万用电表。但是考虑到表头的应用,还有本课题其他组同学采用这个方案,我们则采用了另一种方案,设计数字式万用电表。主要运用ICL7107来实现,ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。所以,用此芯片可以直接把模拟信号转换成数字信号,然后通过数码管显示出来。在数据采集时,采用分开设计,直流电压测量电路,直流电流测量电路,欧姆表测量电路,交流电流、电流测量电路。 三、原理设计(或基本原理) (1)基本原理:ICL7107是高性能、低功耗的三位半A/D转换器电路。它包含七段译码器、显示驱动器、参考源和时钟系统,ICL7107可直接驱动发光二极管。ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数电课设报告1

通过20进制计数器的输出端的E、D信号控制移位寄存器的S0和S1及其CLR'端真值表

七、附录 555的内部结构 555定时器电路是一块介于模与数字电路的一种混合电路,由于这种特殊的地位,故5 55定时电路在报警电路、控制电路得到了广泛的应用。下图为555的内部电路,从图上可以看出,其仅有两个比较器、一个触发器、一个倒相器、放电管和几个电阻构成,由于比较器电路是一个模拟器,而触发器电路为数字电路,故其为混合器件。 555为一8脚封装的器件,其各引脚的名称和作用如下: 1脚—GND,接地脚 2脚—TL,低电平触发端 3脚—Q,电路的输出端 4脚—/R D,复位端,低电平有效 5脚—V_C,电压控制端 6脚—TH,阈值输入端 7脚—DIS,放电端 8脚—V CC,电源电压端,其电压范围为:3~18V 555的功能描述

上图中当V_C不外接电压时,三个电阻对电源电压进行分压,每个电阻上的压降为1/3 V CC,则两个比较器的同相端的输出电压分别为:1/3CC,2/3V CC。从图上可以看出,其555的工作可分为下列3种情况加以讨论: 1.当触发输入端TL输入电压低于1/3V CC而阈值输入端电压大于2/3V CC时,其下面比较器输出为高电平,触发器输出高电平; 2.当触发输入端TL输入电压高于1/3V CC,而阈值输入端电压小于2/3V CC时,其两个比较器输出皆为低电平,触发器输出保持不变; 3.当触发输入端TL输入电压高于1/3V CC而阈值输入端电压大于2/3V CC时,其上面比较器输出为高电平,触发器输出低电平。 当然你在上面讨论时可同时对放电管进行讨论其状态,这里没有讨论,详情可能见有关资料,从上面的讨论,可列出下列表格: 输入输出 TH TL/RD Q放电管状态 ××00导通>2/3V CC>1/3V CC10导通 <2/3V CC>1/3V CC1保持不变保持不变 >2/3V CC>1/3V CC10导通 <2/3V CC<1/3V CC11截止 一、芯片名称:同步可预置带清零二进制计数器 二、74LS163芯片的引脚图和引脚说明:

数电课程设计-温度计实验报告(提交版)

一、设计项目名称 温度采集显示系统硬件与软件设计 二、设计内容及要求 1,根据设计要求,完成对单路温度进行测量,并用数码管显示当前温度值系统硬件设计,并用电子CAD软件绘制出原理图,编辑、绘制出PCB印制版。 要求: (1)原理图中元件电气图形符号符合国家标准; (2)整体布局合理,注标规范、明确、美观,不产生歧义。 (3)列出完整的元件清单(标号、型号及大小、封装形式、数量) (4) 图纸幅面为A4。 (4)布局、布线规范合理,满足电磁兼容性要求。 (5)在元件面的丝印层上,给出标号、型号或大小。所有注释信息(包括标号、型号及说明性文字)要规范、明确,不产生歧义。 2.编写并调试驱动程序。 功能要求: (1)温度范围0-100℃。 (2)温度分辨率±1℃。 (3)选择合适的温度传感器。 3.撰写设计报告。 提示:可借助“单片机实验电路板”实现或验证软件、硬件系统的可靠性。 温度传感器 摘要:温度的检测与控制是工业生产过程中比较典型的应用之一,随着传感器在生产和生活中的更加广泛的应用,利用新型单总线式数字温度传感器 实现对温度的测试与控制得到更快的开发,随着时代的进步和发展,单 片机技术已经普及到我们生活,工作,科研,各个领域。一种数字式温 度计以数字温度传感器DS18B20作感温元件,它以单总线的连接方式, 使电路大大的简化。传统的温度检测大多以热敏电阻为传感器,这类传 感器可靠性差,测量温度准确率低且电路复杂。因此,本温度计摆脱了 传统的温度测量方法,利用单片机STC89C52对传感器进行控制。这样

易于智能化控制。 关键词:数字测温;温度传感器DS18B20;单片机STC89C52; 一.概述 传感器从功能上可分为雷达传感器、电阻式传感器、电阻应变式传感器、压阻式传感器、热电阻传感器、温度传感器、光敏传感器、湿度传感器、生物传感器、位移传感器、压力传感器、超声波测距离传感器等,本文所研究的是温度传感器。 温度传感器是最早开发,应用最广泛的一类传感器。温度传感器是利用物质各种物理性质随温度变化的规律把温度转换为电量的传感器。这些呈现规律性变化的物理性质主要有半导体。温度传感器是温度测量仪表的核心部分,品种繁多。 随着科学技术的发展,测温系统已经被广泛应用于社会生产、生活的各个领域,在工业、环境监测、医疗、家庭多方面均有应用。从而使得现代温度传感器的发展。微型化、集成化、数字化正成为发展的一个重要方向。 二.硬件设计 1.DS18B20 DS1820 单线数字温度计特性 ? 独特的单线接口仅需一个端口引脚进行通讯 ? 简单的多点分布应用 ? 无需外部器件 ? 可通过数据线供电 ? 零待机功耗 ? 测温范围-55~+125℃,以 0.5℃递增 ? 温度以 9 位数字量读出 ? 温度数字量转换时间 200ms (典型值) ? 用户可定义的非易失性温度报警设置 ? 报警搜索命令识别并标志超过程序限定温度(温度报警条件)的器件 ? 应用包括温度控制、工业系统、消费品、温度计或任何热感测系统 DS1820温度传感器外观图(a )和引脚图(b ) ①引脚1接地 ②引脚2数字信号输入/输出 ③引脚3接高电平5V 高电平

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数电实验-实验报告-实验六

实验一 TTL与非门的参数测试 一、实验目的 ·掌握用基本逻辑门电路进行组合逻辑电路的设计方法。 ·通过实验,验证设计的正确性。 二、实验原理 1.组合逻辑电路的分析: 所谓组合逻辑电路分析,即通过分析电路,说明电路的逻辑。 通常采用的分析方法是从电路的输入到输出,根据逻辑符号的功能逐级列出逻辑函数表达式,最好得到表示输出与输入之间的关系的逻辑函数式。然后利用卡诺图或公式化简法将得到的函数化简或变换,是逻辑关系简单明了。为了使电路的逻辑功能更加直观,有时还可以把逻辑函数式转化为真值表的形式。 2.逻辑组合电路的设计: 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单电路,陈伟组合逻辑电路的设计。 3.SSI设计:设计步骤如下: ①逻辑抽象;分析时间的因果关系,确定输入和输出变量。 ②定义逻辑状态的含义:以二值逻辑0、1表示两种状态。 ③列出真值表 ④写出逻辑表达式,并进行化简,根据选定器件进行转换。 ⑤画出逻辑电路的连接图。 ⑥实验仿真,结果验证。 三、实验仪器及器件 数字万用表1台

多功能电路实验箱1台 四、实验内容 1.设计5421BCD 码转换为8421BCD 码(用双输入端与非门实现)。 四位自然二进制码 5421BCD码 B3 B2 B1 B0 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 0 1 1 0 0 1 1 0 1 0 0 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 1 1 1 0 0 1 1 1 1 0 1 0 伪码 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据5421BCD 码与8421BCD 码真值表可得 2.设A 、B 、C 、D 代表四位二进制变量,函数X=8A-4B+2C+D ,试设计一个组合逻辑电路,判断当函数值介于4

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数字电路课程设计数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受 CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图 图2-2 555功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H到L或者从L到H都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字电子技术课程设计报告

一、设计任务及要求 通过对《数字电子技术》课程的学习,让同学掌握《数字电子技术》课程的基本理论以及方法,加深学生对理论知识的理解,同时积极有效的提高了学生的动手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。为了充分体现这些精神和能力,所以让同学独立自主的制造一个数字时钟,故,对同学设计的数字时钟进行如下要求: 时钟显示功能,能够以十进制显示“时”,“分”,“秒”。 二、设计的作用、目的 (1).在同学掌握《数字电子技术》课程的基本理论以及方法的基础上,加深学生对理论知识的理解,同时积极有效的提高了学生的动

手能力,独立思考和解决问题的能力,创新思维能力、协调能力,以及团结合作、互帮互助的优良传统。 (2).掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力。 (3). 熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理,熟悉数字钟的设计与制作。 (4). 掌握数字钟的设计、调试方法。 三、设计过程 1.方案设计与论证 数字钟的逻辑结构主要包括有六十进制计数器、二十四进制计数器(其中包括六十进制计数器和二十四进制计数器均由十进制计数器74LS160接成)、动态显示译码器、LED数码管显示环节、555定时器(可以提供一个比较精确的1Hz的时钟脉冲),时间设置环节可以提供时间的初始设置,动态显示译码器提供将BCD代码(即8421码)译成数码显示管所需要的驱动信号,使LED数码管用十进制数字显示出BCD代码所表示的数值。 数字钟电路系统的组成框图:

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

数字电路课程设计报告

目录 一.课程设计题目 二.设计的任务和要求 三.设计与调试 四.系统总体设计方案及系统框图 五.设计思路 六.电路连接步骤 七.电路组装中发生的问题及解决方案 八.所选方案的总电路图 九.实验结果 十.心得体会

一、课程设计题目 交通灯控制系统设计 二、设计的任务和要求 1)在严格具有主、支干道的十字路口,设计一个交通灯自动控制装置。要求:在十字路口的两个方向上各设一组红黄绿灯;顺序无要求; 2)设置一组数码管,以倒计时的方式显示允许通行或禁止通行时间。红(主:R,支:r)绿(主:G,支:g)黄(主:Y,支:y)三种颜色灯,由四种状态自动循环构成(Gr→Yr→Rg→Ry);并要求不同状态历时分别为:Gr:30秒,Rg:20秒,Yr,Ry:5秒 三、设计与调试 1、按照任务要求,设计电路,计算相关参数,选择电子元器件 2、根据所设计的电路和所选择的器件搭接安装电路 3、接步骤进行调试电路 4、排除故障,最终达到设计要求 四、系统总体设计方案及系统框图 方案一:芯片设计 (1)芯片功能及分配 交通灯控制系统主要由控制器、定时器、译码器、数码管和秒脉冲信号发生器等器件组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。 1)系统的计时器是由74LS161组成,其中应因为绿灯时间为30秒,所以绿灯定时器由两块74LS161级联组成.74LS161是4位二进制同步计数器,它具有同步清零,同步置数的功能。 2)系统的主控制电路是由74LS74组成,它是整个系统的核心,控制信号灯的工作状态。 3)系统的译码器部分是由一块74LS48组成,它的主要任务是将控制器的输出翻译成6个信号灯的工作状态。整个设计共由以上三部分组成。 2)各单元电路的设计: 1. 秒脉冲信号发生器

数字电路课程设计

数字电路课程设计 姓名:李志波 专业:电子信息工程 年级:2012级

数字闹钟计时器 一.实验目的 1.通过这个实验进一步了解掌握各种功能芯片的功能,并能够在电路系统中正确应用。 2.强化巩固专业课课程内容,学会对电路的系统分析。 3.初步了解基础的电路设计思路和方法,锻炼自己的动手能力,巩固电子焊接技术。 二.实验原理 1.显示译码器 74LS248(74LS48)是BCD码到七段码的显示译码器,它可以直接驱动共阴极数码管。它的引脚图及功能如下: (a)要求输入数字0~15时“灭灯输入端”BI必须开路或保持高电平,如果不要灭十进制的0,则“动态灭灯 输入”RBI必须开路或者为高电平。 (b)当灭灯输入端BI接低电平时,不管其他输入端为何种电平,所有各端输出均为低电平。 (c)BI/RBO是线与关系,既是“灭灯输入端”BI又是“动态灭灯输出端”RBO。 2.数码显示器 LC5011-11就是一种共阴极数码显示器,它的管脚图如图1,X为共阴极,DP为小数点。其内部是八段发光二极管的负极连在一起的电路。当在a.b.c.d.e.f.g.DP加上正向电压时,各段

二极管就会被点亮,例如,利用74LS48和数码管组合成的显 示译码电路。 ABCD 四个引脚接上一级输出 LT,RBO/BI ,RBI 接高电平,或悬空。 3,十进制集成计数电路74LS90 74LS90时异步二-五-十进制计数器。其管脚图如图 U1 74LS90D Q A 12Q B 9Q D 11 Q C 8I N B 1 R 916 R 927R 012I N A 14R 02 3 G N D 10 V C C 5它的内部由两个计数电路组成,一个为二 进制,计数电路,计数脉冲输入端为CP1,输出端为QA QB QC QD.这两个计数器可独立使用,当QA 连到CP2时,可构成十进制计数器。 它具有复零输入端ROA,ROB 和复9输入端R9A R9B 。如果复零输入端ROA,ROB 皆为高电平时,计数器复零;如果复9输入端R9A,R9B 皆为高电平时,计数器复9。计数时ROA,ROB 其中之一接高电平或者二者都接高电平,并要求复9输入端R9A,R9B 其一接低电平或者同时接低电平。用74LS90接成的24 进 制 计 数 器 电 路 如 图

相关主题
文本预览
相关文档 最新文档