当前位置:文档之家› 电子技术基础实验报告

电子技术基础实验报告

电子技术基础实验报告
电子技术基础实验报告

电子技术实验报告学号:

姓名:刘娟

专业:教育技术学

实验三单级交流放大器(二)

一、实验目的

1. 深入理解放大器的工作原理。

2. 学习测量输入电阻、输出电阻及最大不失真输出电压幅值的方法。

3. 观察电路参数对失真的影响.

4. 学习毫伏表、示波器及信号发生器的使用方法。

二. 实验设备:

1、实验台

2、示波器

3、数字万用表

三、预习要求

1、熟悉单管放大电路。

2、了解饱和失真、截止失真和固有失真的形成及波形。

3、掌握消除失真方法。

四、实验内容及步骤

●实验前校准示波器,检查信号源。

●按图3-1接线。

图3-1

1、测量电压参数,计算输入电阻和输出电阻。

●调整RP2,使V C=Ec/2(取6~7伏),测试V B、V E、V b1的值,填入表3-1中。

表3-1

●输入端接入f=1KHz、V i=20mV的正弦信号。

●分别测出电阻R1两端对地信号电压V i及V i′按下式计算出输入电阻R i :

●测出负载电阻R L开路时的输

出电压V∞,和接入R L(2K)

时的输出电压V0 , 然后按下式计算出输出电阻R0;

将测量数据及实验结果填入表3-2中。

表3-2

2、观察静态工作点对放大器输出波形的影响,将观察结果分别填入表3-3,3-4中。

● 输入信号不变,用示波器观察正常工作时输出电压V o 的波形并描画下来。

逐渐减小R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真的波形描画下来,并说明是哪种失真。( 如果R P2=0Ω后,仍不出现失真,可以加大输入信号V i ,或将R b1由100K Ω改为10K Ω,直到出现明显失真波形。)

● 逐渐增大R P2的阻值,观察输出电压的变化,在输出电压波形出现明显失真时,把失真波形描画下来,并说明是哪种失真。如果R P2=1M 后,仍不出现失真,可以加大输入信号V i ,直到出现明显失真波形。

表 3-3

● 调节R P2使输出电压波形不失真且幅值为最大(这时的电压放大倍数最大),

测量

此时的静态工作点V c 、V B 、V b1和V O 。

表 3-4

五、实验报告

1、 分析输

入电阻和输出电阻的

测试方法。

按照电路图连接好电路后,调节RP2,使Vc 的值在6-7V 之间,此时使用万用表。接入输入信号1khz 20mv 后,用示波器测试Vi 与Vi ’,记录数据。用公式计算出输入电阻的值。在接入负载RL 和不接入负载时分别用示波器测试Vo 的值,记录数据,用公式计算出输出电阻的值。

2、 讨论静态工作点对放大器输出波形的影响。

静态工作点过低,波形会出现截止失真,即负半轴出现失真;静态工作点过高,波形会出现饱和失真,即正半轴出现失真。

实验四 负反馈放大电路

一、 实验目的

1、熟悉负反馈放大电路性能指标的测试方法。

2、通过实验加深理解负反馈对放大电路性能的影响。 二、实验设备

1、实验台

2、示波器

3、数字万用表

三、预习要求

1、熟悉单管放大电路,掌握不失真放大电路的调整方法。

2、熟悉两级阻容耦合放大电路静态工作点的调整方法。

3、了解负反馈对放大电路性能的影响。

四、实验电路

实验电路如图4-1所示:

图4-1

实验注意事项:

实验中如发现寄生振荡,可采用以下措施消除:

1、重新布线,尽可能走短线。

2、避免将输出信号的地引回到放大器的输入级。

3、T1管cb间接30pF的电容。

4、分别使用测量仪器,避免互相干扰。

五、实验内容及步骤

1、调整静态工作点

连接α、α’点,使放大器处于反馈工作状态。经检查无误后接通电源。调整R P1、R P2(记录当前有效值),使V C1=( 6~7V )、V C2=(6~7V),测量各级静态工作点,填入表4-1中。断开电路测量并记录偏置电阻

表4-1

待测参数V C1V B1V E1V C2V B2V E2R A R B

计算值

测量值28kΩΩ

相对误差

2、观察负反馈对放大倍数的影响。

从信号源输出Vi频率为1KHz幅度小于2mV(保证输出波形不失真)的正弦波。

● 输出端不接负载,分别测量电路在无反馈(α,α’断开)与有反馈工作时(α与α’连接 )空载下的输出电压V o ,同时用示波器观察输出波形,注意波形是否失真。若失真,减少Vi 并

计算电路在无反馈与有反馈工作时的电压放大倍数A V ,记入表4-2中。

表4-2

3、观察负反馈对放大倍数稳定性的影响。

R L =,改变电源电压将Ec 从12V 变到10V 。分别测量电路在无反馈与有反馈工作状态时的输出电压,注意波形是否失真,并计算电压放大倍数,稳定度。记入表4-3中。

表4-3

4、观察负反馈对波形失真的影响

电路无反馈,

Ec=12V, R L =,逐渐加大信号源的幅度,用示波器观察输出波形出现临界失真,用毫伏表测量V i 、V o 和V 0P-P 值,记入表4-4中。

● 电路接入反馈(a 与a ′连接),其它参数不变, 用毫伏表测量V i 、V o 和V 0P-P 值,记入表4-4中。 ● 逐渐加大信号源的幅度,用示波器观察输出波形出现临界失真,用毫伏表测量V i 、V o 和V 0P-P 值,

记入表4-4中。

表4-4

5、*幅频特性测量(对带宽的影响)

在上述实验基础上,不接负载、E C =12V ,分别在有、无反馈的情况下调信号源使f 改变( 保持V i

不变 )测量V o ,且在处多测几点,找出上、下限频率。数据记入表4-5和表4-6中。 表4-5

表4-6

六、实验报告

1、整理实验数据,填入表中并按要求进行计算。

2、总结负反馈对放大器性能的影响。

有负反馈,放大器的放大倍数降低了,提高放大信号的稳定性,减小失真。

实验七运算放大器的基本运算电路

一、实验目的

1、了解运算放大器的基本使用方法。

2、应用集成运放构成的基本运算电路,测定它们的运算关系。

1、学会使用线性组件uA741。

二、实验设备

1、实验台

2、示波器 3数字万用表。

三、实验说明

运算放大器有三种连接方式:反相、同相和差动输入,本实验主要做比例运算。

四、实验内容及步骤

1、调零:按图7-1接线,接通电源后,调节调零电位器R P,使输出V o=0(小于±10mV),运放调零后,

在后面的实验中均不用调零了。

图7-1

2、反相比例运算:

电路如图7-2所示,根据电路参数计算A v=V O/V i=?按表7-1给定的V i值计算和测量对

应的V0值,把结果记入表7-1中。

图7-2

反相比例运算表7-1

临界失真值:

3、同相比例运算:

电路图如7-3所示:

图7-3

根据电路参数,按给定的V i值计算和测量出对应不同V i值的V o值,把计算结果

和实测数据填入表7-2中。

同相比例运算表7-2

五、实验报告

1、整理实验数据,填入表中。

2、分析各运算关系。

经过实际测量与运算,可知比例为10倍。

3、分析V i超过V时,输出V o电压现象。

由于实验器材等的影响在Vi=的时候失真。Vi超过时,V。更是出现失真现象,示波器得到不规则的正弦图

数字部分实验

实验二组合逻辑电路分析

一.实验目的

1.掌握组合逻辑电路的分析方法

2.验证半加器、全加器、半减器、全减器、奇偶校验器、原码/反码转换器逻辑功能。

二、实验设备及器件

1.SAC-DS4数字逻辑实验箱1个

2.万用表 1块

3.74LS00 四二输入与非门 3片

4.74LS86 四二输入异或门 1片

三、实验内容与步骤

1、分析半加器的逻辑功能

(1)用两片74LS00(引脚见附录)按图4-1接线。74LS00芯片14脚接+5V,7脚接地。

图4-1

(2)写出该电路的逻辑表达式,列真值表

(1)按表4-1的要求改变A、B输入,观测相应的S、C值并填入表4-1中。

(2)比较表4-1与理论分析列出的真值表,验证半加器的逻辑功能。

表4-1

2、分析全加器的逻辑功能

1)用三片74LS00按图4-2接好线。74LS00芯片14脚接 +5v,7脚接地.

2)析该线路,写出Sn、Cn的逻辑表达式,列出其真值表。

3)表4-2利用开关改变An、Bn、Cn-1的输入状态,借助指示灯或万用表观测Sn、Cn的值填入表4-2中。

4)表4-2的值与理论分析列出的真值表加以比较,验证全加器的逻辑功能。

表4-2

3、分析半减器的逻辑功能

(1)用两片74LS00按图4-3接好线。74LS00芯片14脚接 +5v,7脚接地.

图4-3

(2)分析该线路,写出D、C的逻辑表达式,列出真值表。

(3)按表4-3改变开关A、B状态,观测D、C的值并填入表4-3中。

(4)将表4-3与理论分析列出的真值表进行比较,验证半减器的逻辑功能。

表4-3

4、分析全减器的逻辑功能

图4-4

(1)用一片74LS86和两片74LS00按图4-4接线。各片的14脚接 +5V,7脚接地。

(2)分析该线路,写出Dn、Cn的逻辑表达式,列出真值表。

(3)按表4-4改变An、Bn、Cn-1的开关状态,借助万用表或指示灯观测输出Dn、Cn的状态并填入表4-4中。

(4)对比表4-4和理论分析列出的真值表,验证全减器的逻辑功能。

5、分析四位奇偶校验器的逻辑功能

1)用74LS86按图4-5接好线。74LS86芯片14脚接 +5v,7脚接地.

2)分析该线路,写出逻辑表达式,列出真值表。

3) 按表4-5改变A、B、C、D开关状态,借助指示灯或万用表观测输出F状态,填

入表4-5中。

4)对比表4-5与理论分析列出的真值表,验证奇偶校验器的逻辑功能。

表4-5

五、实验报告要求

1、将各组合逻辑电路的观测结果认真填入表格中。

2、分析各组合逻辑电路的逻辑功能。

半加器:不考虑来自低位的进位而只将两个1位二进制数相加。

全加器:两个多位二进制相加时,出最低位以外,其他每一位相加都要考虑低位的进位。

全减器:采用本位结果和借位来显示,将两个二进制数进行减法运算。

3、学会用与非门设计半加器、全加器、半减器、全减器。

4、独立操作,交出完整的实验报告。

实验三 3/8译码器

一、实验目的

1、掌握中规模集成电路译码器的工作原理及逻辑功能。

1、学习译码器的灵活应用。

二、实验设备及器件

1.S AC-DS4数字逻辑电路实验箱 1个

2.万用表 1块

3.74LS138 3-8线译码器2片

4.74LS20 双四输入与非门 1片

三、实验内容与步骤

74LS138管脚图见附录,其与非门组成逻辑图见图5-1。

图5-1

控制输入端S1=1,S2=S3=0,译码器工作,否则译码器禁止,所有输出端均为高电平。

1、译码器逻辑功能测试

1) 按图5-2接线。

图5-2

2)根据表5-1,利用开关设置S1、S2、S3、及A2、A1、A0的状态,借助指示灯或万用表观测Q0-Q7的

状态,记入表5-1中。

表5-1

2、用两片74LS138组成4-16线译码器

按图5-3接线,利用开关改变输入D0-D3的状态,借助指示灯或万用表监测输出端,记入表5-2中,写出各输出端的逻辑函数。

图5-3

3、利用译码器组成全加器线路

用74LS138和74LS20按图5-4接线,74LS20芯片14脚接 +5v,7脚接地.利用开关改变输入A i、B i、C i-1的状态,借助指示灯或万用表观测输出S i、C i的状态,记入表5-3中,写出输出端的逻辑表达式。

图5-4

表5-3

2、总结译码器的逻辑功能及灵活应用情况。

只有当S。=1、S1+S2=0,译码器才处于正常工作,否则被禁止。其中A2、A1、A0为地址输入端,Y 0~Y 7为译码输出端,S1、S2、S3为使能端。译码器将每种二进制代码组合译成对应的一根输出线上的电平信号,3-8译码器是3位二进制代码,八种组合,故有8个对应的输出信号。

实验五数据选择器实验

一、实验目的

1、掌握中规模集成电路数据选择器的工作原理及逻辑功能。

2、学习数据选择器的应用。

二、实验设备及器件

1.S AC-DS4数字逻辑电路实验箱 1个

2.万用表1块

3.74LS153双四选一数据选择器 1片

三、实验内容与步骤

74LS153双四选一数据选择器,其引脚图见附录。两个选择器各有一个控制端(S1、S2),共用一组输入选择代码A0-A1,输出为原码,其内部逻辑图如图9-1所示。

图9-1

1、74LS153双四选一数据选择器功能测试

1)按图9-2接线。

图9-

2)利用开关按表9-1改变输入选择代码的状态及输入数据的状态,借助指示灯或万用表观测

输出Q的状态填入表9-1中。

表9-1

输入输出

S A1A0 D Q

1 ΦΦΦ0

0 0 0 D0D。

0 0 1 D1D1

0 1 0 D2D2

0 1 1 D3D3

2、用74LS153双四选一数据选择器实现全加功能

1)全加器的真值表

表9-2 全加器的真值表

输入输出

A B Cn-1S C

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

表中S为全加器的和,C为向高位进位。

2)用“74LS153双四选一数据选择器”的8个置数端实现Cn-1,则全加器功能如下表:

表9-3 “双四选一数据选择器”构成全加器功能表

3)按图9-3接线。

图9-3

4)改变开关输入状态,借助指示灯或万用表观测输出,验证全加器功能。

5)10脚接地,13脚接电源。改变开关输入状态,借助指示灯或万用表观测输出,验证全加器功能。

四、实验要求

1、分析数据选择器的逻辑功能。

2、分析用数据选择器实现全加功能的机理。

当使能控制端非S1=0,非S2=0时,数据选择器才能正常工作,使能控制端为低电平有效。

实验六触发器实验

一、实验目的

1、掌握D触发器和J-K触发器的逻辑功能及触发方式。

2、熟悉现态和次态的概念及两种触发器的次态方程。

二、实验设备及器件

1、SAC-DS4数字逻辑电路实验箱 1个

2、万用表 1块

3、74LS74 双D触发器 1片

4、74LS112双J-K触发器 1片

三、实验内容与步骤

1、74LS74D触发器逻辑功能测试

1)按图10-1接线。

图10-1

2)直接置位(S D)端复位(R D)端功能测试。

利用开关按表10-1改变、的逻辑状态(D,CP状态随意),借助指示灯或万用表观测相应的、状态,结果记入表10-1中。

表10-1

输入输出

CP D Q

ΦΦ 1 1→00 1

ΦΦ 1 0→10 1

ΦΦ1→0 1 1 0

ΦΦ0→1 1 1 0

ΦΦ0 0 1 1

Φ-任意状态

3)D与CP端功能测试

从CP端输入单个脉冲,按表10-2改变开关状态。将测试结果记入表10-2中。

表10-2

输入输出Qn+1

D CP 原状态Qn=0 原状态Qn=1

0 1 1 0→10 0 1 1 1→00 0

1 1 1 0→1 1 1 1 1 1→0 1 1

2、74LS112 J-K

1)按图10-2接线。

图10-2

2)直接置位()复位()功能测试

利用开关按表10-3改变和的状态,J、K、CP可以为任意状态,借用指示灯和万用表观察输出状态并将结果记入表10-3中。

表10-3

输入输出

CP J K Q

ΦΦΦ1→0 1 0 1

ΦΦΦ0→1 1 0 1

ΦΦΦ 1 1→0 1 0

ΦΦΦ 1 0→1 1 0

ΦΦΦ0 0 1 1

Φ-任意状态

3)翻转功能测试。

图10-2中CP端加单脉冲,按表10-4利用开关改变各端状态,借助指示灯或万

用表观测输出端,状态记入表10-4。

表10-4

要求

数据填好表发器功能.

实验八 555定时器实验

一、实验目的

1. 熟悉555定时器的工作原理及逻辑功能。

2. 学习555定时器的应用。

二、实验设备及器件

1、 SAC-DS4数字逻辑电路实验箱 1个

2、 示波器 1台

3、 555集成定时器 1片

4、 电阻 33K 、100K 各1只

5、 电位计 100K 1只

6、 电容 μf 、μf 各1只

三、实验内容及步骤

555定时器是由比较器C 1和C 2、基本RS 触发器和三极管T 1组成,如图11-1所示。这是一种多用途的集成电路,利用它能方便地接成施密特触发器,单稳态触发器和振荡器。

图11-1

1、 用555定时器构成单稳态触发器

1)按图11-2接线。

图11-2

1)在V i端输入频率为10KHz幅度为5V的方波信号用示波器观察并记录Vi、Vc和Vo波形,测出Vo

脉冲宽度,与理伦值进行比较,将测量结果记入表11-1。

表11-1

波形

Vo

周期脉宽峰峰值

2、用555定时器构成多谐振荡器

(1)按图11-3接好线,检查无误后,可接通电源。

图11-3

(2)用示波器观察3脚和6脚的波形。

(3)改变可调电阻RP的数值,观察输出波形的变化。注意f0的变化。将测量结果记

入表11-2。

表11-2

电阻值波形

Vo

周期脉宽峰峰值

RP=50K 3 5

3、用555定时器构成占空比可调的方波发生器

(1)按图11-4接好线,检查无误后,可接通电源。

图11-4

(2)调节10K电位器,用示波器观察3脚和6脚的波形变化。

五、实验要求

1、熟悉并验证555定时器的工作原理。

通过实验验证并熟悉了555定时器的基本功能。基本工作原理:555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 A1 的反相输入端的电压为

2VCC /3,A2 的同相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 A2 的输出为 1,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 A1 的输出为 1,A2 的输出为 0,可将 RS 触发器置 0,使输出为 0 电平。

2、画出各要求实验点的波形图并进行分析。

555定时器所构成的多谐振动器产生方波,方波经过作用产生了三角波,我们实验出来的为三角波,可能还会产生正弦波。

3、交出完整的实验报告。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

电子技术基础数字温度计课程设计要点

课程设计(论文) 题目名称数字温度计 课程名称电子技术课程设计 学生姓名屈鹏 学号1141201112 系、专业电气工程系电气工程及其自动化 指导教师李海娜 2013年12月17日

邵阳学院课程设计(论文)任务书 年级专业11级电气工程及其自动化学生姓名屈鹏学号1141201112 题目名称数字温度计设计设计时间2013.12.9—2013.12.20 课程名称电子技术课程设计课程编号121202306 设计地点电工电子实验室408、409 一、课程设计(论文)目的 电子技术课程设计是电气工程及自动化专业的一个重要的实践性教学环节,是对已学模拟电子技术、数字电子技术知识的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成,着重培养学生工程实践的动手能力、创新能力和进行综合设计的能力,并要求能设计出完整的电路或产品,从而为以后从事电子电路设计、研制电子产品奠定坚实的基础。 二、已知技术参数和条件 用中小规模集成芯片设计并制作一数字式温度计,具体要求如下: 1、温度范围0-100度。 2、测量精度0.2度。 3、三位LED数码管显示温度。 三、任务和要求 1.按学校规定的格式编写设计论文。 2.论文主要内容有:①课题名称。②设计任务和要求。③方案选择与论证。④方案的原理框图,系统电路图,以及运行说明;单元电路设计与计算说明;元器件选择和电路参数计算的说明等。 ⑤必须用proteus或其它仿真软件对设计电路仿真调试。对调试中出现的问题进行分析,并说明解决的措施;测试、记录、整理与结果分析。⑥收获体会、存在问题和进一步的改进意见等。 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

电工电子技术基础-在线作业-

电工电子技术基础_在线作业_5 交卷时间:2017-01-16 13:54:47 考试成绩100分 一、单选题 1. (5分)555集成定时器内部属于()电路。 ? A. 模拟 ? B. 模拟和数字 ? C. 数字 ? D. 分立元件 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 2. (5分)74290的控制信号R9(1)、R9(2)均为高电平时,计数器的输出为()。

? A. 0 0 0 0 ? B. 1 0 0 1 ? C. 1 1 1 1 ? D. 1 0 0 0 纠错 得分:5 知识点:电工电子技术基础展开解析 答案B 解析 3. (5分) ? A. 串联电 压负反馈 ? B. 并联电流负反馈 ? C. 串联电流负反馈 纠错 得分:5 知识点:电工电子技术基础 展开解析 某测量放大电路,欲提高输入电阻、稳定输出电流,应引入()。

答案C 解析 4. (5分)为了提高电感性负载的功率因数,可以采用与电感性负载串联电容的办法。() ? A. 对 ? B. 错 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案B 解析 5. (5分)恒流源的端口电压不会随负载的变化而变化,但恒流源的端口电流却会随负载的变化而变化。() ? A. 对 ? B. 错 纠错 得分:5

知识点:电工电子技术基础 展开解析 答案B 解析 6. (5分)可以表示为()。 ? A. ? B. ? C. ? D. 纠错 得分:5 知识点:电工电子技术基础 展开解析 答案D 解析 7. (5分)555集成定时器内部3个电阻的作用是()。 ? A. 加压 ? B. 充电

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字频率计设计_数字电子技术课程设计实验报告

电子技术基础 课程设计 题目名称:数字频率计设计 评语: 成绩: 重庆大学电气工程学院 2015年7月6日 目录 摘要 (1) 1、设计的目的及要求 (2) 1.1、设计目的 (2) 1.2、设计要求 (2) 2、设计思路及方案选择 (2) 2.1、设计思路 (2) 2.2、设计方案选择 (2)

3、设计及仿真 (3) 3.1、总体框图 (3) 3.2、各模块功能实现及介绍 (3) (1)整形电路 (3) (2)时钟产生及分频电路 (4) (3)T触发器 (5) (4)单稳触发器 (6) (5)计数器 (7) (6)锁存器 (8) (7)显示 (8) (8)小数点功能的实现 (8) 3.3全部电路及功能测试 (10) 4、焊接规划及实物设计 (12) 4.1、逻辑设计图转换 (12) 4.2、电路VCC\GND端共线设计 (12) 4.3、焊接元器件及排针 (12) 4.4、元件接线及电流引入 (12) 5、总结与感想 (12) 参考文献 (14)

摘要 作为数字电子技术、模拟电子技术中最常用的基本参数,频率经常会被应用到各种数据的计算当中。这就导致数字频率计在电子技术领域应用广泛,其作为一种最基本的测量仪器以其测量精度高、速度快、操作简便、数字显示等特点被广泛应用。本文主要介绍制作简易数字频率计的原理、方法以及设计思路。以74LS系列常用电子集成电路为例,分析如何利用整形、计数、分频、译码电路实现对于矩形波、三角波、方波等信号的频率分析及显示。本文以作者二人小组的设计为蓝本,分享设计经验,为有制作需求及意愿的人提供施行经验。 关键字:频率计整形电路分频电路计数方式

数字电子技术基础课程设计DT-830B数字万用表报告

数字电子技术基础课程设计DT-830B数字万用表报告

三亚学院 2011~2012学年第2学期 数字电子技术基础课程设计报告 学院: 理工学院 专业: 测控技术与仪器 班级: 学号: 学生姓名: 指导教师: 2012年9月7日

目录 一、设计任务与要求……………………………………… 二、电路原理……………………………………………… 三、总原理图及元器件清单……………………………… 四、装配过程……………………………………………… 五、电路功能测试………………………………………… 六、结论与心得……………………………………………

DT-830B数字万用表的组装与调试 一、设计任务与要求 1、设计要求: 学习了解DT830B数字万用表,熟悉它的工作原理。然后安装并调试数字万用表。通过对DT830B数字万用表的安装与调试实训,了 解数字万用表的特点,熟悉装配数字万用表的基本工艺过程、掌握基本 的装配技艺、学习整机的装配工艺、培养自身的动手能力以及培养严谨 的学习工作作风。 DT830B由机壳熟料件(包括上下盖和旋钮)、印制板部件(包括插口)、液晶屏及表笔等组成,组装成功关键是装配印制板部件。因为 一旦被划伤或有污迹,将对整机的性能产生很大的影响。整机安装的流 程图如下所示: 3)认识DT830B数字万用表的液晶显示器件、印制板部件等。 4)安装制作一台DT830B数字万用表。 5)根据技术指标测试DT830B数字万用表的主要参数 6)校验数字式万用表,减小其误差。

二、电路原理 DT830B电路原理它是3位半数字万用表。 数字万用表的核心是以ICL7106A/D转化器为核心的数字万用表。A/D转化器将0~2V范围的模拟电压变成三位半的BCD码数字显示出来。将被测直流电压、交流电压、直流电流及电阻的物理量变成0~2V的直流电压,送到ICL7106的输入端,即可在数字表上进行检测。 为检测大于2V的直流电压,在输入端引入衰减器,将信号变为0~2V,检测显示时再放大同样的倍数。 检测直流电流,首先必须将被测电流变成0~2V的直流电压即实现衰减与I/V 变换。衰减是有精密电阻构成的具有不同分流系数的分流器完成。 电阻的检测是利用电流源在电阻上产生压降。因为被测电阻上通过的电流是恒定的,所以在被测电阻上产生的压降与其阻值成正比,然后将得到的电压信号送到A/D转换器进行检测。 三、总原理图及元器件清单

电工电子技术基础-在线作业_C

电工电子技术基础-在线作业_C最终成绩:100.0 一单项选择题 1. 字符“A”的ASCII码为()。 1000100 1000001 0001100 1001000 本题分值: 5.0 用户得分: 5.0 用户解答: 1000001 知识点: 2. 逻辑变量的取值,1比0大。()。 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 3. 异或函数与同或函数在逻辑上互为反函数。() 对 错 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 4. 的逻辑表达式为()。

本题分值: 5.0 用户得分: 5.0 用户解答: 知识点: 5. 上图电路的逻辑表达式为()。 本题分值: 5.0 用户得分: 5.0 用户解答: 知识点: 6. 若两个函数具有相同的真值表,则两个逻辑函数必然相等。() 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 7. 若两个函数具有不同的逻辑函数式,则两个逻辑函数必然不相等。() 错

本题分值: 5.0 用户得分: 5.0 用户解答:错 知识点: 8. 上图是一位()电路符号。 全加器 译码器 半加器 编码器 本题分值: 5.0 用户得分: 5.0 用户解答:全加器 知识点: 9. “竞争-冒险”现象是由于门电路出现互补输入信号的缘故。() 错 对 本题分值: 5.0 用户得分: 5.0 用户解答:对 知识点: 10. 时序逻辑电路的输出()。 与电路的上一个状态无关 只与输入信号有关 与电路的上一个状态有关 与输入信号无关 本题分值: 5.0 用户得分: 5.0 用户解答:与电路的上一个状态有关

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

电子技术基础课程设计题目

《电子技术基础》课程设计题目1(简单) 1、设计一个三人(A 、B 、C )投票的表决电路,当表决某个提案时,多数人同意则提案通过,同时A 具有否决权,即A 不同意时,B 和C 即使都同意也不能通过。要求用与非门实现。 2、用8选1数据选择器产生三变量逻辑函数:BC A AC C B A Z ++= 3、某工厂有三个车间A 、B 、C 和一个自备电站,站有2台发电机F1、F2,F1的额定输出功率是800KW ,F2的额定输出功率是500KW 。A 车间单独生产时需要用电550KW ,B 车间单独生产时需要用电350KW ,C 车间单独生产时需要用电200KW 。 4、试用与非门和反相器设计一个控制电路控制发电机的起停,要求只需启动一台发电机时,不启动两台发电机,只需启动功率小的发电机时,不启动功率大的。 5、某工厂有A 、B 、C 三个车间,各需电力10千瓦,由变电所的X 、Y 两台变压器供电。其中X 变压器的功率为13千伏安,Y 变压器的功率为25千伏安。为合理供电,需设计一个送电控制电路。使控制电路的输出接继电器线圈。送电时线圈通电;不送电时线圈不通电。提供“异或”门、“与非”门、“与或非”门各一片。试完成设计电路, 6、某工厂有三个车间A 、B 、C ,有一个自备电站,站有二台发电机M 和N ,N 的发电能力是M 的两倍,如果一个车间开工,启动M 就可以满足要求;如果两个车间开工,启动N 就可以满足要求;如果三个车间同时开工,同时启动M 、

N才能满足要求。试用异或门和与非门设计一个控制电 路,因车间开工情况来控制M和N的启动。画出逻辑图。 7、设计一个故障指示电路,要求满足以下条件: (1)两台电动机同时工作,绿灯亮; (2)其中一台电动机发生故障时,则黄灯亮; (3)两台电动机都发生故障,则红灯亮。 8、火车站有动车、特快、普快三种列车进出,当三种列车同时进站时,进站的优先顺序是:动车—特快——普快,站台上有三个灯,每个灯亮代表相应的列车进站,设计能完成该功能的电路(要求:用二输入与非门和反相器实现) 9、某单位举办游艺晚会,男士持红票入场,女士持黄票入场,持绿票不管男女均可入场,试用与非门设计这个游艺晚会入场放行的逻辑控制电路。 10、人类有O、A、B、AB四种基本的血型,输血者与受血者的血型必须符合图示原则,试用与非门设计一血型关系检测电路,用来检测输血者和受血者的血型是否符合图示关系,如果符合,输出为1,否则输出为0。 11、用八选一数据选择器和与非门设计一个四变量(如A、B、C、D)奇偶判别电路。要求当4个输入中有奇数个高电平1时电路输出高电平1,否则输出低电平0。 12、某自动传输线由三条传送皮带串联而成,各传送皮带均由一台电机拖动。自

电工电子技术基础在线作业Abcdef

1. 若规定一个电路元件的电压与电流参考方向相关联,并计算得出其功率大于0,则该电路元件是吸收功率。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 2. 对于电路中的一个线性电阻元件,若规定其电压u和电流i的参考方向一致,则。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 3. 对于电路中的一个线性电感元件,若规定其电压u和电流i的参考方向一致,则。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点:

4. 对于电路中的一个线性电容元件,若规定其电压u和电流i的参考方向一致,则。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 5. 恒压源和恒流源可以等效互换。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 6. 恒压源的端口电压不会随负载的变化而变化,但恒压源的端口电流却会随负载的变化而变化。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:对 知识点:

7. 恒流源的端口电压不会随负载的变化而变化,但恒流源的端口电流却会随负载的变化而变化。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 8. 当电路发生换路时,对电容元件来说,应有。() 对 错 本题分值: 用户得分: 教师评语: 用户解答:对 知识点: 9. 当电路发生换路时,对电感元件来说,应有。() 错 对 本题分值: 用户得分: 教师评语: 用户解答:错 知识点: 10. 若购得一个耐压为300V的电器,则可以用在220V的交流电源上。() 对

《电工电子技术》课程设计报告书 (1)

武汉理工大学华夏学院 信息工程课程设计报告书 课程名称电工电子技术 课程设计总评成绩 学生姓名、学号 学生专业班级 指导教师姓名 课程设计起止日期2015.6.22~2015.7.3

课程设计基本要求 课程设计是工科学生十分重要的实践教学环节,通过课程设计,培养学生综合运用先修课程的理论知识和专业技能,解决工程领域某一方面实际问题的能力。课程设计报告是科学论文写作的基础,不仅可以培养和训练学生的逻辑归纳能力、综合分析能力和文字表达能力,也是规范课程设计教学要求、反映课程设计教学水平的重要依据。为了加强课程设计教学管理,提高课程设计教学质量,特拟定如下基本要求。 1. 课程设计教学一般可分为设计项目的选题、项目设计方案论证、项目设计结果分析、答辩等4个环节,每个环节都应有一定的考核要求和考核成绩。 2. 课程设计项目的选题要符合本课程设计教学大纲的要求,该项目应能突出学生实践能力、设计能力和创新能力的培养;该项目有一定的实用性,且学生通过努力在规定的时间内是可以完成的。课程设计项目名称、目的及技术要求记录于课程设计报告书一、二项中,课程设计项目的选题考核成绩占10%左右。 3. 项目设计方案论证主要包括可行性设计方案论证、从可行性方案中确定最佳方案,实施最佳方案的软件程序、硬件电路原理图和PCB图。项目设计方案论证内容记录于课程设计报告书第三项中,项目设计方案论证主要考核设计方案的正确性、可行性和创新性,考核成绩占30%左右。 4. 项目设计结果分析主要包括项目设计与制作结果的工艺水平,项目测试性能指标的正确性和完整性,项目测试中出现故障或错误原因的分析和处理方法。项目设计结果分析记录于课程设计报告书第四项中,考核成绩占25%左右。 5. 学生在课程设计过程中应认真阅读与本课程设计项目相关的文献,培养自己的阅读兴趣和习惯,借以启发自己的思维,提高综合分和理解能力。文献阅读摘要记录于课程设计报告书第五项中,考核成绩占10%左右。 6. 答辩是课程设计中十分重要的环节,由课程设计指导教师向答辩学生提出2~3个问题,通过答辩可进一步了解学生对课程设计中理论知识和实际技能掌握的程度,以及对问题的理解、分析和判断能力。答辩考核成绩占25%左右。 7.学生应在课程设计周内认真参加项目设计的各个环节,按时完成课程设计报告书交给课程设计指导教师评阅。课程设计指导教师应认真指导学生课程设计全过程,认真评阅学生的每一份课程设计报告,给出课程设计综合评阅意见和每一个环节的评分成绩(百分制),最后将百分制评分成绩转换为五级分制(优秀、良好、中等、及格、不及格)总评成绩。 8. 课程设计报告书是实践教学水平评估的重要资料,应按课程、班级集成存档交实验室统一管理。

模拟电子技术基础 课程设计报告..

模拟电子技术基础课程设计报告设计题目:收音机的组装与调试 专业班级:信息工程学院 姓名:_____________________ 学号:20121524122 完成时间:2013-12-23

目录 1.实习目的和实习内容及要求 (3) 1.1实习目的: (3) 1.2实习内容: (3) 1.3实习基本要求: (3) 2.收音机原理 (4) 2.1收音机组成 (4) 2.2收音机电路 (4) 2.3电路分析 (4) 3. 收音机组装实训工具和元件 (8) 3.1万用表的使用 (8) 3.2电容器 (9) 3.3电阻器 (10) 3.4晶体管的测判 (11) 4.焊接技术及工具 (13) 4.1基本工具使用 (13) 4.2焊前处理 (15) 4.3焊接技术 (15) 5.电路的调试 (16) 5.1调整静态工作点 (16) 5.2调整中放(俗称调中周) (17) 5.3调整频率覆盖(即校对刻度) (17) 5.4调整输入回路--补偿 (18) 6.实习过程遇到的问题及解决方法 (18) (附录)实习时间安排: (20)

1.实习目的和实习内容及要求 1.1实习目的: 1.学习收音机的调试与装配; 2.提高读整机电路图及电路板图的能力; 3.掌握收音机生产工艺流程,提高焊接工艺水平。 1.2实习内容: 1.收音机电路原理分析; 2.掌握印制电路板的组装及焊接工艺; 3.进行AM、FM中频及统调覆盖的调试及整机测试; 4.故障判断及排除。 1.3实习基本要求: 1.会检测元器件并判别其质量; 2.独立完成各测试点的测量与整机安装; 3.会排除在调试与装配过程中可能出现的问题与故障; 4.所制作的产品电器性能指标应能满足三级机水平(国标),具体如下: 接收频率范围:AM 525~1605KHZ FM 72~108MHZ 接收灵敏度:AM 达国家C类标准FM 优于μV级 输出功率:大于100mW 供电电源:DC 3V 立体声耳机输出阻抗:32Ω

电工电子技术基础在线作业

电工电子技术基础_在线作业_2 交卷时间:2016-10-30 12:37:53 一、单选题 1. (5分)要使JK触发器的输出Q处于反转的状态,它的输入信号JK应为()。 A. 0 0 B. 1 0 C. 0 1 D. 1 1 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 D 解析 2. (5分)由555集成定时器组成单稳态触发器时,需要外加的元件是()。 A. 两个电阻、一个电容 B. 两个电容

C. 一个电阻、一个电容 D. 两个电阻 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 C 解析 3. (5分)要使JK触发器的输出Q=0的状态,它的输入信号JK应为()。 A. 不变 B. 0 1 C. 1 0 D. 翻转 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析

4. (5分)上图是()电路符号。 A. 逻辑与 B. 逻辑非 C. 逻辑或 D. 逻辑加 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析 5. (5分)计数器电路由触发器组成。() A. 对 B. 错 纠错 得分: 5 知识点:电工电子技术基础

收起解析 答案 A 解析 6. (5分)在交流电路中,电动势、电压及电流的有效值分别表示为()。 A. B. C. D. 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 C 解析 7. (5分)字符“A”的ASCII码为()。 A. 0001100 B. 1001000 C. 1000100

D. 1000001 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 D 解析 8. (5分)在交流电路中,容抗随频率的增大而增大。() A. 对 B. 错 纠错 得分: 5 知识点:电工电子技术基础 收起解析 答案 B 解析 9. (5分)逻辑变量的取值,1比0大。()。

电子技术课程设计报告定稿版

电子技术课程设计报告 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

电子技术课程设计报告 班级: 姓名: 学号: 指导教师: 目录 一、设计目的 二、设计要求 三、设计框图及整机概述 四、各单元电路的设计及仿真 1、检测电路 2、放大电路 3、滤波电路 4、整形电路 5、定时电路 6、计数、译码、显示电路 五、电路装配、调试与结果分析 六、设计、装配及调试中的体会 七、附录(包括整机逻辑电路图和元器 件清单) 八、参考文献 一、设计目的

巩固和加深在"模拟电子技术基础"和"数字电子技术基础"课程中所学的理论知识和实训技能,基本掌握常用电子电路的一般设计方法,并通过这一实训课程,能让学生对电子产品设计的过程有一个初步的了解,使学生掌握常用模拟、数字集成电路(运算放大器、非门、555定时器、计数器、译码器等)的应用。 二、设计要求 掌握整机电路组成及工作原理,并能运用所学过的电路知识分析、解决电路制作过程中所遇到的问题。 三、设计框图及整机概述 红外线心率计就是通过红外线传感器检测出手指中动脉血管的微弱波动,由计数器计算出每分钟波动的次数。但手指中的毛细血管的波动是很微弱的,因此需要一个高放大倍数且低噪声的放大器,这是红外线心率计的设计关键所在。整机电路由放大电路、整形电路、滤波电路、3 位计数器电路,译码、驱动、显示电路等几部分组成。 四、各单元电路的设计及仿真 1、检测电路 血液波动检测电路首先通过红外光电传感器把血液中波动的成分检测出来,然后通过电容器耦合到放大器的输入端。如图4所示。 图4 血液波动检测电路 2.放大电路 3、滤波电路 由三脚输入信号,六脚输出信号

模拟电子技术课程设计报告

课程设计报告 题目方波、三角波、正弦波信号 发生器设计 课程名称模拟电子技术课程设计 院部名称机电工程学院 专业10自动化 班级10自动化 学生姓名吉钰源 学号1004104001 课程设计地点 C206 课程设计学时 1周 指导教师赵国树 金陵科技学院教务处制成绩

目录 1、绪论 (3) 1.1相关背景知识 (3) 1.2课程设计目的 (3) 1.3课程设计的任务 (3) 1.4课程设计的技术指标 (3) 2、信号发生器的基本原理 (4) 2.1总体设计思路 (4) 2.2原理框图 (4) 3、各组成部分的工作原理 (5) 3.1 正弦波产生电路 (5) 3.1.1正弦波产生电路 (5) 3.1.2正弦波产生电路的工作原理 (6) 3.2 正弦波到方波转换电路 (7) 3.2.1正弦波到方波转换电路图 (7) 3.2.2正弦波到方波转换电路的工作原理 (8) 3.3 方波到三角波转换电路 (9) 3.3.1方波到三角波转换电路图 (9) 3.3.2方波到三角波转换电路的工作原理 (10) 4、电路仿真结果 (11) 4.1正弦波产生电路的仿真结果 (11) 4.2 正弦波到方波转换电路的仿真结果 (11) 4.3方波到三角波转换电路的仿真结果 (13) 5、电路调试结果 (13) 5.1正弦波产生电路的调试结果 (13) 5.2正弦波到方波转换电路的调试结果 (14) 5.3方波到三角波转换电路的调试结果 (14) 6、设计结果分析与总结 (15)

1、绪论 1.1相关背景知识 由于物理学的重大突破,电子技术在20世纪取得了惊人的进步。特别是近50年来,微电子技术和其他高技术的飞速发展,致使农业、工业、科技和国防等领域发生了令人瞩目的变革。与此同时,电子技术也正在改变着人们日常生活。在电子技术中,信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,可以用于生产测试、仪器维修和实验室,还广泛使用在其它科技领域,如医学、教育、化学、通讯、地球物理学、工业控制、军事和宇航等。它是一种不可缺少的通用信号源。 1.2课程设计目的 通过本次课程设计所要达到的目的是:增进自己对模拟集成电路方面所学知识的理解,提高自己在模拟集成电路应用方面的技能,树立严谨的科学作风,培养自身综合运用理论知识解决实际问题的能力。通过电路设计初步掌握工程设计方法,逐步熟悉开展科学实践的程序和方法,为后续课程的学习和今后从事的实际工作提供引导性的背景知识,打下必要的基础。 1.3课程设计的任务 ①设计一个方波、三角波、正弦波函数发生器; ②能同时输出一定频率一定幅度的三种波形:正弦波、方波和三角波; ③用±12V电源供电; 先对课程设计任务进行分析,及根据参数的确定选择出一种最适合本课题的方案。在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。然后运用仿真软件Multisim对电路进行仿真,观察效果并与课题要求的性能指标作对比。仿真成功后,用实物搭建电路,进行调试,观测示波器输出的波形。 1.4课程设计的技术指标 ①设计、组装、调试信号发生器; ②输出波形:正弦波、方波、三角波; ③频率范围在10Hz~10000Hz范围内可调; ④比较器用LM339,运算放大器用LM324,双向稳压管用两个稳压管代替。

电子技术基础课程设计报告

XX XX大学 电子技术基础课程设计报告题目:电子设计课程三实验 院别: 专业: 姓名: 学号: 日期:2018年1月

摘要 本设计主要分为三个模块,第一个模块是直流稳压电源设计,第二个模块是复合信号发生器,第三个模块是数字时钟,用第一模块的一个输出电压作为第二、第三模块的工作电压。 直流稳压电源设计主要由电源变压器、整流滤波电路和稳压电路组成,能够输 出多路、稳定的直流电压,通过实际测量获得了输出纹波的波形,输入和输出电压 等参数,电源负载性能良好,符合设计指标要求。 复合信号发生器设计主要由RC谐振产生方波和四分频模块产生的5KHz方 波、积分电路实现三角波、同相加法器、滤波器的五个电路模块组成,采用通用四 运放324芯片和双触发器74HC74芯片,实现以上五种波形的产生,把以上两个模 块联通,示波器观察到的五种输出波形经测量,达到性能要求,滤波结果基本正 确。 数控时钟设计主要由晶振、分频、计数、进位、校时、BCD译码和LED显示七个模块组成。针对进位、校时两个模块中需要的逻辑运算使用二极管和三极管分别实现了与门和非门的逻辑关系,校时模块通过开关选择计数器输入的时钟信号为进位信号还是校时的8Hz时钟信号。 关键词:直流稳压电源;复合信号发生器;数字钟;单电源

Abstract: The design is mainly divided into three modules. The first module is the DC regulated power supply design. The second module is the composite signal generator. The third module is the digital clock. And the output voltage of the first module is used as the working voltage of second and third modules. The design of DC regulated power supply is mainly composed of a power transformer, a rectifier filter circuit and a voltage stabilizing circuit. DC voltage output can, through actual measurements obtained output ripple waveform parameters, input and output voltage of power supply load. Good performance, meet the design requirement. The design of composite signal generator is mainly composed of five modules. RC resonant generate 5KHz square wave, four frequency division module generate 5KHz square wave, integral circuit realizes triangular wave module, phase adder module, filter module. Using the universal four operational amplifier chip 324 and double trigger 74HC74 chip to achieve the above five kinds of waveform generation. Connect the above two modules. The oscilloscope observed five output waveforms with measurements to achieve performance requirements, the filtering result is basically correct. The design of CNC clock is mainly composed of seven modules: crystal oscillator, frequency division, counting, entry, school time, BCD decoding and LED display. According to the logical relationship AND and NOT realize the logic operation requires two modules, carry the school at the time of using diode and triode respectively, timing module through the switch selection of the clock signal of the counter input 8Hz clock signal to carry signals or timing. Key words: DC regulated power; composite signal generator; digital clock; single power

电力电子技术课程设计报告

电力电子课程设计报告题目三相桥式全控整流电路设计 学院:电子与电气工程学院 年级专业:2015级电气工程及其自动化 姓名: 学号: 指导教师:高婷婷,林建华 成绩:

摘要 整流电路尤其是三相桥式可控整流电路是电力电子技术中最为重要同时也是应用得最为广泛的电路,不仅用于一般工业,也广泛应用于交通运输、电力系统、通信系统,能源系统及其他领域,因此对三相桥式可控整流电路的相关参数和不同性质负载的工作情况进行对比分析与研究具有很强的现实意义,这不仅是电力电子电路理论学习的重要一环,而且对工程实践的实际应用具有预测和指导作用,因此调试三相桥式可控整流电路的相关参数并对不同性质负载的工作情况进行对比分析与研究具有一定的现实意义。 关键词:电力电子,三相,整流

目录 1 设计的目的和意义………………………………………1 2 设计任务与要求 (1) 3 设计方案 (1) ?3.1三相全控整流电路设计 (1) 3.1.1三相全控整流电路图原理分析 (2) ?3.1.2整流变压器的设计 (2) ?3.1.3晶闸管的选择 (3) 3.2 保护电路的设计 (4) 3.2.1变压器二次侧过压保护 (4) ?3.2.2 晶闸管的过压保护………………………………………………4 3.2.3 晶闸管的过流保护………………………………………………5 3.3 触发电路的选择设计 (5) 4 实验调试与分析 (6) 4.1三相桥式全控整流电路的仿真模型 (6)

4.2仿真结果及其分析……………………………………………7 5 设计总结 (8) 6 参考文献 (9)

1 设计的目的和意义 本课程设计属于《电力电子技术》课程的延续,通过设计实践,进一步学习掌握《电力电子技术》,更进一步的掌握和了解他三相桥式全控整流电路。通过设计基本技能的训练,培养学生具备一定的工程实践能力。通过反复调试、训练、便于学生掌握规范系统的电子电力方面的知识,同时也提高了学生的动手能力。 2 设计任务与要求 三相桥式全控整流电路要求输入交流电压2150,10,0.5U V R L H ==Ω=为阻 感性负载。 1.写出三相桥式全控整流电路阻感性负载的移相范围,并计算出直流电压的变化范围 2.计算α=60°时,负载两端电压和电流,晶闸管平均电流和有效电流。 3.画出α=60°时,负载两端 d U 和晶闸管两端 1 VT U 波形。 4.分析纯电阻负载和大电感负载以及加续流二极管电路的区别。 5.晶闸管的型号选择。 3 设计方案 3.1三相全控整流电路设计

电子技术基础课程设计题目

电子技术基础课程 设计题目

《电子技术基础》课程设计题目1(简单) 1、设计一个三人(A 、B 、C )投票的表决电路,当表决某个提案时,多数人同意则提案经过,同时A 具有否决权,即A 不同意时,B 和C 即使都同意也不能经过。要求用与非门实现。 2、用8选1数据选择器产生三变量逻辑函数:BC A AC C B A Z ++= 3、某工厂有三个车间A 、B 、C 和一个自备电站,站内有2台发电机F1、F2,F1的额定输出功率是800KW ,F2的额定输出功率是500KW 。A 车间单独生产时需要用电550KW ,B 车间单独生产时需要用电350KW ,C 车间单独生产时需要用电200KW 。 4、试用与非门和反相器设计一个控制电路控制发电机的起停,要求只需启动一台发电机时,不启动两台发电机,只需启动功率小的发电机时,不启动功率大的。 5、某工厂有A 、B 、C 三个车间,各需电力10千瓦,由变电所的X 、Y 两台变压器供电。其中X 变压器的功率为13千伏安,Y 变压器的功率为25千伏安。为合理供电,需设计一个送电控制电路。使控制电路的输出接继电器线圈。送电时线圈通电;不送电时线圈不通电。提供“异或”门、“与非”门、“与或非”门各一片。试完成设计电路, 6、某工厂有三个车间A 、B 、C ,有一个自备电站,站内有二台发电机M 和N ,N 的发电能力是M 的两倍,如果一个车间开工,启动M 就能够满足要求;如果两个车间开工,启动N 就能够满足要

求;如果三个车间同时开工,同时启动M、N 才能满足要求。试用异或门和与非门设计一个 控制电路,因车间开工情况来控制M和N的 启动。画出逻辑图。 7、设计一个故障指示电路,要求满足以下条件: (1)两台电动机同时工作,绿灯亮; (2)其中一台电动机发生故障时,则黄灯亮; (3)两台电动机都发生故障,则红灯亮。 8、火车站有动车、特快、普快三种列车进出,当三种列车同时进站时,进站的优先顺序是:动车—特快——普快,站台上有三个灯,每个灯亮代表相应的列车进站,设计能完成该功能的电路(要求:用二输入与非门和反相器实现) 9、某单位举办游艺晚会,男士持红票入场,女士持黄票入场,持绿票不论男女均可入场,试用与非门设计这个游艺晚会入场放行的逻辑控制电路。 10、人类有O、A、B、AB四种基本的血型,输血者与受血者的血型必须符合图示原则,试用与非门设计一血型关系检测电路,用来检测输血者和受血者的血型是否符合图示关系,如果符合,输出为1,否则输出为0。 11、用八选一数据选择器和与非门设计一个四变量(如A、B、C、

相关主题
文本预览
相关文档 最新文档