当前位置:文档之家› 程序设计仿真练习题-答案

程序设计仿真练习题-答案

程序设计仿真练习题-答案
程序设计仿真练习题-答案

8086程序设计仿真练习题

1、数组STRAING中存放着多个8位有符号数,以“$”结束,要求

分成正数组和负数组分别存放,并统计两个数组的个数,分别存于正、负数组的第一个字节。

DATA SEGMENT

STARING DB 25H,0BDH,02H,80H, 7FH,94H,65H,0A5H,$

DA2 DB 20 DUP(0) ;正数

DA3 DB 20 DUP(0) ;负数

DA4 DB 8

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA BX,STARING

LEA SI,DA2

LEA DI,DA3

MOV CX,0

MOV CL,DA4

LOP1: CMP [BX],80H

JB LOP2

INC [DA3]

MOV AL,[BX]

INC DI

MOV [DI],AL

JMP LOP3

LOP2: INC [DA2]

MOV AL,[BX]

INC SI

MOV [SI],AL

LOP3: INC BX

LOOP LOP1

CODE ENDS

END START

2、将数据块DAT1中的20个单字节数,复制到DAT2中,并从

中检索与2EH相同的数,将此单元的数换成空格符。如有多个与2EH相同的数,要求统计出个数,存在寄存器DL中。

DATA SEGMENT

DAT1 DB 25H,0BDH,02H,80H,7FH,94H,65H,0A5H,00H,31H

DB 2EH,33H,2EH,76H,78H,98H,23H,7AH,98H,38H

DAT2 DB 20 DUP(0)

DAT3 DB 20

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA SI,DAT1

LEA DI,DAT2

MOV DX,0

MOV CX,0

MOV CL,DAT3

LOP1: MOV AL,[SI]

MOV [DI],AL

CMP [DI],2EH

JZ LOP2

JMP LOP3

LOP2: MOV [DI],0

INC DL

LOP3: INC SI

INC DI

LOOP LOP1

CODE ENDS

END START

3、将变量VAR1中的16位二进制数取反,并统计原数中1的个数,

将个数存在变量VAR2中。

DATA SEGMENT

VAR1 DW 3425H

VAR2 DB 0

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE DS:DATA

START:MOV AX,DATA

MOV DS,AX

MOV AX,VAR1

LEA BX,VAR2

MOV CX,0

MOV DX,0

MOV CL,16

CLC

LOP1: ROL AX,1

JNC LOP2

INC DL

LOP2: LOOP LOP1

NOT AX

MOV VAR1,AX

MOV [BX],DL

CODE ENDS

END START

4、找出数据块DAT1中的15个8位有符号数中,最大的偶数和最

小的奇数,分别存在变量MAX和MIN中。

DATA SEGMENT

DAT1 DB 81H,8DH,78H,2AH,27H,034H,80H,90H,24H

DB 43H,7FH,7EH,23H,76H,54H

DAT2 EQU 15

MAX DB 0

MIN DB 0

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA SI,DAT1

MOV CX,0

MOV CL,DAT2

LOP1: MOV AL,[SI]

AND AL,01H

JZ OUSHU

LEA DI,MIN

MOV DL,[DI]

MOV AL,[SI]

CMP AL,DL

JG LOP2

MOV [DI],AL

JMP LOP2

OUSHU:LEA DI,MAX

MOV DL,[DI]

MOV AL,[SI]

CMP AL,DL

JL LOP2

MOV [DI],AL

LOP2: INC SI

LOOP LOP1

CODE ENDS

END START

5、将变量VAR1中的16位二进制数,变成4个ASCⅡ码,存在VAR2

开始的4内存单元中。

DATA SEGMENT

VAR1 DW 23E5H

VAR2 DB 4 DUP(0)

NUM EQU 4

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE DS:DATA

START:MOV AX,DATA

MOV DS,AX

MOV DX,VAR1

LEA SI,VAR2

MOV CX,0

MOV CL,NUM

LOP1: MOV AX,DX

AND AL,0FH

CMP AL,3AH

JB LOP2

ADD AL,07H

LOP2: MOV [SI],AL

INC SI

ROR DX,4

LOOP LOP1

CODE ENDS

END START

6、将数据块DAT1中的多个8位有符号数,数据块以0结尾(数据块不包括0),按照从小到大的顺序排列

DATA SEGMENT

DAT1 DB 34H,0A2H,76H,80H,01H,02H,08H,04H,00H

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA BX,DAT1

XOR CX,CX

LOP1: MOV AL,[BX]

INC BX

INC CL

CMP AL,0

JNZ LOP1

DEC CX

DEC CX

XOR BX,BX

LOP2: MOV DX,CX

LOP3: MOV AL,DAT1[BX]

CMP AL,DAT1[BX+1]

JL CONT

XCHG AL,DAT1[BX+1]

MOV DAT1[BX],AL

CONT: INC BX

LOOP LOP3

MOV CX,DX

MOV BX,0

LOOP LOP2

CODE ENDS

END START

7、内存单元X 中有一个8位有符号数,按下式计算Y 值,并将Y 值存于其后的单元(注意数据的大小)。编写完整的汇编语言程序。

?????>-=<+=0

3050

00

252x x x x

x y

DATA SEGMENT

X DB 0F6H ;-10

Y DW 0

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START: MOV AX ,DATA

MOV DS ,AX

MOV AL ,X

MOV AH ,0

CMP AL ,0 ;用AL 不可用AX

JL LOP1

JLE LOP2

MOV BX ,AX

MOV CL ,2

SHL BX ,CL

ADD BX ,AX

MOV AX ,BX

SUB AX ,30

JMP LOP3

LOP1: MOV BL ,2

IMUL BL

ADD AX ,25

JMP LOP3

LOP2: MOV AX,0

LOP3: MOV Y ,AX

HLT

CODE ENDS

END START

8、数组BUF1中有10个BCD 码,求出其累加和及平均值,分别存在变量SUM 和A VER 中。

DATA SEGMENT

BUF1 DB 34H,12H,76H,80H,01H,02H,08H,04H,78H,56H

SUM DW 0

A VER DW 0

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA BX,BUF1

MOV CX,10

CLC

MOV AX,0

LOP1: ADD AL,[BX]

DAA

JNC LOP2

INC AH

LOP2: INC BX

LOOP LOP1

LEA SI,SUM

MOV [SI],AX

SHR AX,4

LEA SI,A VER

MOV [SI],AX

CODE ENDS

END START

9、数据块X中有8个8位无符号数,分别为记为X1、X2、 (8)

试计算Y=X1+X2+X3-X4-X5+X6-X7+X8的值,并存在内存中。DATA SEGMENT

X DB 04H,01H,01H,01H,01H,20H,01H,01H

Y DW 0

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START:MOV AX,DATA

MOV DS,AX

LEA BX,X

MOV CX,8

MOV DL,11100101B

CLC

MOV AX,0

LOP1: SHL DL,1

ADD AL,[BX]

JNC JWEI1

INC AH

JWEI1: INC BX

LOOP LOP1

JMP LOP3

LOP2: SUB AL,[BX]

JNC JWEI2

DEC AH

JWEI2: INC BX

LOOP LOP1

LOP3: LEA SI,Y

MOV [SI],AX

CODE ENDS

END START

10、利用调用子程序的方法,将不大于9999的一个16位二进制数转换成十进制数,以组合BCD码的形式存在2个内存单元中。

DATA SEGMENT

X DW 0A0AH

Y DB 2 DUP(0)

DATA ENDS

CODE SEGMENT

ASSUME CS:CODE,DS:DATA

START:MOV AX,DATA

MOV DS,AX

MOV AX,0

CALL CHULI

CHULI PROC NEAR

PUSH AX

PUSH BX

PUSH CX

PUSH DX

MOV AX,X

LEA SI,Y

DAA

JNC LOP1

INC AH

LOP1: MOV [SI+1],AL

MOV AL,AH

ADD AL,0 ;清除相应标志位如AF,CF

DAA

POP AX

POP BX

POP CX

POP DX

CODE ENDS

END START

宏观经济学思考题及参考答案

宏观经济学思考题及参考答案(1) 第四章 基本概念:潜在GDP,总供给,总需求,AS曲线,AD曲线。 思考题 1、宏观经济学的主要目标是什么?写出每个主要目标的简短定义。请详细解释 为什么每一个目标都十分重要。 答:宏观经济学目标主要有四个:充分就业、物价稳定、经济增长和国际收支平衡。 (1)充分就业的本义是指所有资源得到充分利用,目前主要用人力资源作为充分就业的标准;充分就业本不是指百分之百的就业,一般地说充分就业允许的失业范畴为4%。只有经济实现了充分就业,一国经济才能生产出潜在的GDP,从而使一国拥有更多的收入用于提高一国的福利水平。 (2)物价稳定,即把通胀率维持在低而稳定的水平上。物价稳定是指一般物价水平(即总物价水平)的稳定;物价稳定并不是指通货膨胀率为零的状态,而是维持一种能为社会所接受的低而稳定的通货膨胀率的经济状态,一般指通货膨胀率为百分之十以下。物价稳定可以防止经济的剧烈波动,防止各种扭曲对经济造成负面影响。 (3)经济增长是指保持合意的经济增长率。经济增长是指单纯的生产增长,经济增长率并不是越高越好,经济增长的同时必须带来经济发展;经济增长率一般是用实际国民生产总值的年平均增长率来衡量的。只有经济不断的增长,才能满足人类无限的欲望。 (4)国际收支平衡是指国际收支既无赤字又无盈余的状态。国际收支平衡是一国对外经济目标,必须注意和国内目标的配合使用;正确处理国内目标与国际目标的矛盾。在开放经济下,一国与他国来往日益密切,保持国际收支的基本平衡,才能使一国避免受到他国经济波动带来的负面影响。 3,题略 答:a.石油价格大幅度上涨,作为一种不利的供给冲击,将会使增加企业的生产成本,从而使总供给减少,总供给曲线AS将向左上方移动。 b.一项削减国防开支的裁军协议,而与此同时,政府没有采取减税或者增加政府支出的政策,则将减少一国的总需求水平,从而使总需求曲线AD向左下方移动。 c.潜在产出水平的增加,将有效提高一国所能生产出的商品和劳务水平,从而使总供给曲线AS向右下方移动。 d.放松银根使得利率降低,这将有效刺激经济中的投资需求等,从而使总需求增加,总需求曲线AD向右上方移动。 第五章 基本概念:GDP,名义GDP,实际GDP,NDP,DI,CPI,PPI。 思考题: 5.为什么下列各项不被计入美国的GDP之中? a优秀的厨师在自己家里烹制膳食; b购买一块土地; c购买一幅伦勃朗的绘画真品; d某人在2009年播放一张2005年录制的CD所获得的价值; e电力公司排放的污染物对房屋和庄稼的损害;

程序设计基础实验报告

《程序设计基础》 实验报告 专业班级: 姓名: 学号:

实验一 面向过程的简单程序设计 1.实验目的要求 (1) 使用C++语言编写简单的计算机程序,掌握C++程序从编辑、编译到运行的全过程, 初步了解C++程序的特点。 (2) 掌握C++语言的数据类型(包括对各种数据类型变量的定义、初始化、赋值等)、运 算符和表达式的使用。 (3) 掌握赋值语句的使用。 (4) 掌握数据的输入输出方法。 2.实验内容 (1)设计简单程序,分别计算下列表达式,式中变量由键盘输入,输出表达式的值。 <1> d c b a x -++ <2> 11 11+++x x <3> sinX+cosX+tan -1 X <4> e x+y +e x-y <5> log 10(1+21x +) <6> 22b a -+??b a - (其中??a 表示取不大于a 的最大整数)。 <1> #include using namespace std; int main() { float x,a,b,c,d,e; cin>>x>>a>>b>>c>>d; e=x+(a+b)/(c-d); cout< #include #include using namespace std; int main() { double x,y; cin>>x; y=sqrt(1+1/(x+1/(x+1)));

cout< #include #include using namespace std; int main() { double x,y; cin>>x; y=sin(x)+cos(x)+1/tan(x); cout< #include #include using namespace std; int main() { double x,y,z; cin>>x>>y; z=exp(x+y)+exp(x-y); cout< #include #include using namespace std; int main() { double x,y; cin>>x; y=log(1+sqrt(1+x*x)); cout< #include #include using namespace std; int main()

大赛仿真思考题

附:思考题 标准答案请自行查阅相关资料,本公司不予提供。 1、吸收岗位的操作是在高压、低温的条件下进行的,为什麽说这样的操作条 件对吸收过程的进行有利? 2、请从节能的角度对换热器E-103在本单元的作用做出评价? 3、结合本单元的具体情况,说明串级控制的工作原理。 4、操作时若发现富油无法进入解吸塔,会有哪些原因导致?应如何调整? 5、假如本单元的操作已经平稳,这时吸收塔的进料富气温度突然升高,分析 会导致什麽现象?如果造成系统不稳定,吸收塔的塔顶压力上升(塔顶C4增 加),有几种手段将系统调节正常? 6、请分析本流程的串级控制;如果请你来设计,还有哪些变量间可以通过串 级调节控制?这样做的优点是什么? 7、C 油贮罐进料阀为一手操阀,有没有必要在此设一个调节阀,使进料操作6 自动化,为什么? 附:思考题 标准答案请自行查阅相关资料,本公司不予提供。 1、结合本单元说明比例控制的工作原理。 2、为什么是根据乙炔的进料量调节配氢气的量;而不是根据氢气的量调节乙炔 的进料量? 3、根据本单元实际情况,说明反应器冷却剂的自循环原理。 4、观察在EH-429冷却器的冷却水中断后会造成的结果。 5、结合本单元实际,理解“连锁”和“连锁复位”的概念。

附:思考题 标准答案请自行查阅相关资料,本公司不予提供。 1、什么叫工业炉?按热源可分为几类? 2、油气混合燃烧炉的主要结构是什么?开/停车时应注意哪些问题? 3、加热炉在点火前为什么要对炉膛进行蒸汽吹扫? 4、加热炉点火时为什么要先点燃点火棒,再依次开长明线阀和燃料气阀? 5、在点火失败后,应做些什么工作?为什么? 6、加热炉在升温过程中为什么要烘炉?升温速度应如何控制? 7、加热炉在升温过程中,什么时候引入工艺物料,为什么? 8、在点燃燃油火嘴时应做哪些准备工作? 9、雾化蒸气量过大或过小,对燃烧有什么影响?应如何处理? 10、烟道气出口氧气含量为什么要保持在一定范围?过高或过低意味着什么? 11、加热过程中风门和烟道挡板的开度大小对炉膛负压和烟道气出口氧气含量有什么影响? 12、本流程中三个电磁阀的作用是什么?在开/停车时应如何操作?

基于Multisim的数字频率计电路的设计与仿真

摘要 本论文主要介绍应用Multisim2001软件进行数字频率计的设计与仿真。 数字频率计是用数字显示被测信号频率的仪器,广泛应用于机械振动的频率、转速、声音的频率以及产品的计件等等。 Multisim操作简单方便,易于学习和掌握。应用Multisim2001软件可以进行电子电路的设计与仿真。本论文通过数字频率计的设计与仿真反映了应用Multisim2001软件进行电子电路的设计与仿真提高了电子电路设计的效率,节省了设计者的时间、设备。 关键词:数字频率计 Multisim 设计与仿真

目录 前言 第一章 Multisim2001软件简单介绍 1.1 Multisim2001简介 1.2 Multisim2001的用户界面 1.2.1 菜单栏 1.2.2 工具栏 1.2.3 Multisim2001对元器件的管理 1.3 在Multisim2001软件上绘制仿真电路 1.3.1 绘制仿真电路的过程 1.3.2 在Multisim2001软件上创建电路图 第二章课题设计 2.1 主要技术要求 2.2 设计方案图 2.3 电路简述 2.4单元电路的设计与仿真 致谢 参考文献 附件:附录图1 在Mutilsim中设计的总电路图 附录图2 被侧信号100Hz时的仿真结果图 附录图3 被侧信号45Hz时的仿真结果图

前言 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。 电子计算机的飞速发展有效地解决了这个问题。Multisim软件的良好信誉以及Multisim的卓越表现使之很快成为众多EDA用户的首选软件。Multisim操作简单方便,易于学习和掌握。并且能弥补设备种类和数量不足,充分扩展学生的思维空间,给他们更大的自由发挥的天地。使学生可以根据不同需要无限制地进行各种电路分析实验,验证实验,常规实验,设计实验。充分调动学生学习的主观能动性,培养创新能力。

(完整版)思考题及习题2参考答案

第2章思考题及习题2参考答案 一、填空 1. 在AT89S51单片机中,如果采用6MHz晶振,一个机器周期为。答:2μs 2. AT89S51单片机的机器周期等于个时钟振荡周期。答:12 3. 内部RAM中,位地址为40H、88H的位,该位所在字节的字节地址分别为 和。答:28H,88H 4. 片内字节地址为2AH单元最低位的位地址是;片内字节地址为A8H单元的最低位的位地址为。答:50H,A8H 5. 若A中的内容为63H,那么,P标志位的值为。答:0 6. AT89S51单片机复位后,R4所对应的存储单元的地址为,因上电时PSW= 。这时当前的工作寄存器区是组工作寄存器区。答:04H,00H,0。 7. 内部RAM中,可作为工作寄存器区的单元地址为 H~ H。答:00H,1FH 8. 通过堆栈操作实现子程序调用时,首先要把的内容入栈,以进行断点保护。调用子程序返回指令时,再进行出栈保护,把保护的断点送回到,先弹出的是原来中的内容。答:PC, PC,PCH 9. AT89S51单片机程序存储器的寻址范围是由程序计数器PC的位数所决定的,因为AT89S51单片机的PC是16位的,因此其寻址的范围为 KB。答:64 10. AT89S51单片机复位时,P0~P3口的各引脚为电平。答:高 11. AT89S51单片机使用片外振荡器作为时钟信号时,引脚XTAL1接,引脚XTAL2的接法是。答:片外振荡器的输出信号,悬空 12. AT89S51单片机复位时,堆栈指针SP中的内容为,程序指针PC中的内容为 。答:07H,0000H 二、单选 1. 程序在运行中,当前PC的值是。 A.当前正在执行指令的前一条指令的地址 B.当前正在执行指令的地址。 C.当前正在执行指令的下一条指令的首地址 D.控制器中指令寄存器的地址。 答:C 2. 判断下列哪一种说法是正确的?

大学程序设计基础实验报告 (2)

**大学程序设计基础实验报告 实验名称:实验三分支结构 实验目的: 1、掌握IF-ELSE语句使用。 2、掌握ELSE-IF语句使用。 3、熟悉SWITCH语句使用。 实验内容: 在本地电脑中新建一个文件夹,用于存放C程序,文件夹的名字要求是“学号姓名-实验序号”,如E:\ 1920115555张三-03。启动C-Free,完成如下各题。 1、编程题:输入参数a,b,c,求一元二次方程ax2+bx+c=0的根(①a、b、c都为0,②a 和b为0,c不为0,③a为0,b不为0,c任意,④a不为0,且a、b、c满足b2-4ac ≥0,⑤a不为0,且a、b、c满足b2-4ac<0)。 2、编程题:输入职工的月薪salary,计算并输出应缴纳的个人所得税tax。tax=rate * (salary –850),rate的计算方式如下: 当salary <= 850,则rate = 0; 当850 < salary <= 1350,则rate = 5%; 当1350 < salary <= 2850,则rate = 10%; 当2850 < salary <= 5850,则rate = 15%; 当salary > 5850,则rate = 20%;。 3、编程题:根据输入的3个边长a、b、c,判断它们是否能构成三角形,若能构成三 角形,则进一步判断此三角形是哪种类型的三角形(等边三角形、等腰三角形、直角三角形和一般三角形。等腰直角算作等腰)。 4、编程题:输入一个形式如“操作数运算符操作数”的表达式,对2个整数进行乘、 除或求余运算。【请分别用if语句和switch语句实现此题功能】 上交作业的方法: 1.将程序代码及注释和运行程序的窗口复制到实验结果下方对应的题号上,并把这 次实验上机操作中遇到的问题及解决方法、心得等填好完成实验报告。 2.保存以上所有按要求已调试通过,并形成.c(或.cpp)和.exe文件到以自己的“学 号姓名-03”命名的文件夹中,并将以自己的“学号姓名”命名的文件夹压缩后上 交到ftp://10.172.250.252:1161中的“作业上传”文件夹下的“报告上交02”文件 夹下的子文件夹“源文件压缩上交”中,同时把以“学号姓名-03”命名的word 文档上交到“报告上交03”文件夹下的另一子文件夹“word文件上交”中。 特别提醒:每次上传的文件名一定要是“学号姓名-实验序号. doc”(如1720115555张

单片机课程设计报告——智能数字频率计汇总

单片机原理课程设计报告题目:智能数字频率计设计 专业:信息工程 班级:信息111 学号:*** 姓名:*** 指导教师:*** 北京工商大学计算机与信息工程学院

1、设计目的 (1)了解和掌握一个完整的电子线路设计方法和概念; (2)通过电子线路设计、仿真、安装和调试,了解和掌握电子系统研发产品的一个基本流程。 (3)了解和掌握一些常见的单元电路设计方法和在电子系统中的应用: 包括放大器、滤波器、比较器、计数和显示电路等。 (4)通过编写设计文档与报告,进一步提高学生撰写科技文档的能力。 2、设计要求 (1)基本要求 设计指标: 1.频率测量:0~250KHz; 2.周期测量:4mS~10S; 3.闸门时间:0.1S,1S; 4.测量分辨率:5位/0.1S,6位/1S; 5.用图形液晶显示状态、单位等。 充分利用单片机软、硬件资源,在其控制和管理下,完成数据的采集、处理和显示等工作,实现频率、周期的等精度测量方案。在方案设计中,要充分估计各种误差的影响,以获得较高的测量精度。 (2)扩展要求 用语音装置来实现频率、周期报数。 (3)误差测试 调试无误后,可用数字示波器与其进行比对,记录测量结果,进行误差分析。 (4)实际完成的要求及效果 1.测量范围:0.1Hz~4MHz,周期、频率测量可调; 2.闸门时间:0.05s~10s可调; 3.测量分辨率:5位/0.01S,6位/0.1S; 4.用图形液晶显示状态、单位(Hz/KHz/MHz)等。 3、硬件电路设计 (1)总体设计思路

本次设计的智能数字频率计可测量矩形波、锯齿波、三角波、方波等信号的频率。系统共设计包括五大模块: 主芯片控制模块、整形模块、分频模块、档位选择模块、和显示模块。设计的总的思想是以AT89S52单片机为核心,将被测信号送到以LM324N为核心的过零比较器,被测信号转化为方波信号,然后方波经过由74LS161构成的分频模块进行分频,再由74LS153构成的四选一选择电路控制档位,各部分的控制信号以及频率的测量主要由单片机计数及控制,最终将测得的信号频率经LCD1602显示。 各模块作用如下: 1.主芯片控制模块: 单片机AT89S52 内部具有2个16位定时/计数器T0、T1,定时/计数器的工作可以由编程来实现定时、计数和产生计数溢出时中断要求的功能。利用单片机的计数器和定时器的功能对被测信号进行计数。以AT89S52 单片机为控制核心,来完成对各种被测信号的精确计数、显示以及对分频比的控制。利用其内部的定时/计数器完成待测信号周期/频率的测量。 2.整形模块:整形电路是将一些不是方波的待测信号转化成方波信号,便于测量。本设计使用运放器LM324连接成过零比较器作为整形电路。 3.分频模块: 考虑单片机利用晶振计数,使用11.0592MHz 时钟时,最大计数速率将近500 kHz,因此需要外部分频。分频电路用于扩展单片机频率测量范围,并实现单片机频率测量使用统一信号,可使单片机测频更易于实现,而且也降低了系统的测频误差。本设计使用的分频芯片是74LS161实现4分频及16分频。 4.档位选择模块:控制74LS161不分频、4分频或者 16分频,控制芯片是74LS153。 5.显示模块:编写相应的程序可以使单片机自动调节测量的量程,并把测出的频率数据送到显示电路显示,本设计选用LCD1602。 (2)测频基本设计原理 所谓“频率”,就是周期性信号在单位时间(1s)内变化 的次数。若在一定时间间隔T内测得这个周期性信号的重复变 化次数N,则其频率可表示为f=N/T(右图3-1所示)。其中脉 冲形成电路的作用是将被测信号变成脉冲信号,其重复频率等 。利用单片机的定时/计数T0、T1的定时、计数 于被测频率f x 功能产生周期为1s的时间脉冲信号,则门控电路的输出信号持图3-1

思考题与习题答案

思考题与习题 1 1- 1 回答以下问题: ( 1)半导体材料具有哪些主要特性? (2) 分析杂质半导体中多数载流子和少数载流子的来源; (3) P 型半导体中空穴的数量远多于自由电子, N 型半 导体中自由电子的数量远多于空穴, 为什么它们对外却都呈电中性? (4) 已知温度为15C 时,PN 结的反向饱和电流 I s 10 A 。当温度为35 C 时,该PN 结 的反向饱和 电流I s 大约为多大? ( 5)试比较二极管在 Q 点处直流电阻和交流电阻的大小。 解: ( 1)半导体的导电能力会随着温度、光照的变化或掺入杂质浓度的多少而发生显着改变, 即半导体具 有热敏特性、光敏特性和掺杂特性。 ( 2)杂质半导体中的多数载流子是由杂质原子提供的,例如 供一个自由电子,P 型半导体中一个杂质原子提供一个空穴, 浓度;少数载流子则是由热激发产生的。 (3) 尽管P 型半导体中空穴浓度远大于自由电子浓度,但 P 型半导体中,掺杂的杂质原子因获得一个价电子而变成带负电的杂 质离子(但不能移动),价 电子离开后的空位变成了空穴,两者的电量相互抵消,杂质半导体从总体上来说仍是电中性的。 同理, N 型半导体中虽然自由电子浓度远大于空穴浓度,但 N 型半导体也是电中性的。 (4) 由于温度每升高10 C ,PN 结的反向饱和电流约增大 1倍,因此温度为 35C 时,反向 饱和电流为 (5) 二极管在 Q 点处的直流电阻为 交流电阻为 式中U D 为二极管两端的直流电压, U D U on ,I D 为二极管上流过的直流电流, U T 为温度的 电压当量,常温下 U T 26mV ,可见 r d R D 。 1- 2 理想二极管组成的电路如题 1- 2图所示。试判断图中二极管是导通还是截止,并确定 各电路的输 出电压。 解 理想二极管导通时的正向压降为零, 截止时的反向电流为零。 本题应首先判断二极管的工 作状 态,再进一步求解输出电压。二极管工作状态的一般判断方法是:断开二极管, 求解其端口 电压;若该电压使二极管正偏, 则导通; 若反偏, 则截止。 当电路中有两只或两只以上二极管时, 可分别应用该方法判断每只二极管的工作状态。 需要注意的是, 当多只二极管的阳极相连 (共阳 极接法)时,阴极电位最低的管子将优先导通;同理,当多只二极管的阴极相连(共阴极接法) 时,阳极电位最高的管子将优先导通。 (a) 断开二极管 D ,阳极电位为12V ,阴极电位为6V ,故导通。输岀电压 U O 12V 。 (b) 断开二极管 D 1、D 2, D 1、D 2为共阴极接法,其阴极电位均为 6V ,而D 1的阳极电位 为9V , D 2的阳极电位为5V ,故D 1优先导通,将 D 2的阴极电位钳制在 7.5V ,D 2因反向偏置而 截止。输岀电压 U O 7.5V 。 N 型半导体中一个杂质原子提 因此 多子浓度约等于所掺入的杂质 P 型半导体本身不带电。因为在

《计算机仿真技术》试题(含完整答案)

、数值计算,编程完成以下各题(共20分,每小题5 分) 1、脉冲宽度为d,周期为T的矩形脉冲的傅里叶级数如下式描述: d[i.^= sin(^d/T)cos(^:n.) T n」n rd /T 当n =150,d..「T =1;4,- 1/2 :::.::: 1/2,绘制出函数f(.)的图形。 解: syms n t; f=((si n(n *pi/4))/( n*pi/4))*cos(2*pi* n*t); s=symsum(f, n,1,150); y=(1+2*s)/4; x=-0.5:0.01:0.5; Y=subs(y,'t',x); plot(x,Y) 2 0 05x2 5 ■ 5 2、画出函数f (x)二(sin 5x) e .- 5x cos1.5x 1.5x 5.5 x 在区间[3, 5]的图形,求出该函数在区间[3, 5]中的最小值点X min和函数的最小值f min . 解:程序如下 x=3:0.05:5; y=(si n(5*x).A2).*exp(0.05*x.A2)-5*(x.A5).*cos(1.5*x)+1.5*abs(x+5.5)+x.A2.5; mix_where=fi nd(y==mi n(y)); xmin=x(mix_where); hold on; plot(x,y); plot(xmi n,min (y),'go','li newidth',5); str=strcat('(' ,nu m2str(xmi n),',' ,nu m2str(mi n(y)),')'); text(xmi n,min (y),str);

Ylabel('f(x)') 经过运行后得到的图像截图如下: 运行后的最小值点X min =4.6 , f m in = -8337.8625 3、画出函数f (x) = cos2x「e^'x — 2.5 X在口,3]区间的图形, 解该非线 并用编程求性方程 f (x) = 0的一个根,设初始点为X o = 2 . 解: x=1:0.02:3; x0=2; y=@(x)(cos(x).A2).*exp(-0.3*x)-2.5*abs(x); fplot(y,[1,3]); Xlabel('x') Ylabel('f(x)') X仁fzero('(cos(x).A2).*exp(-0.3*x)-2.5*abs(x)',x0) 运行后求得该方程的一个根为z=0.3256 。 4、已知非线性方程组如下,编程求方程组的解,设初始点为[1 0.5 -1].

基于单片机的简单频率计课程设计报告

《单片机原理与接口技术》课程设计报 告 频率计

1功能分析与设计目标 0 2频率计的硬件电路设计 (3) 2.1 控制、计数电路 (3) 2.2 译码显示电路 (5) 3频率计的软件设计与调试 (6) 3.1软件设计介绍 (6) 3.2程序框图 (8) 3.3功能实现具体过程 (8) 3.4测试数据处理,图表及现象描述 (10) 4讨论 (11) 5心得与建议 (12) 6附录(程序及注释) (13)

1 功能分析与设计目标 背景:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。为了实现智能化的计数测频,实现一个宽领域、高精度的频率计,一种有效的方法是将单片机用于频率计的设计当中。用单片机来做控制电路的数字频率计测量频率精度高,测量频率的范围得到很大的提高。 题目要求: 用两种方法检测(△m ,△ T )要求显示单位时间的脉冲数或一个脉冲的周期。 设计分析: 电子计数式的测频方法主要有以下几种:脉冲数定时测频法(M 法),脉冲周期测频法(T 法),脉冲数倍频测频法(AM 法),脉冲数分频测频法(AT 法),脉冲平均周期测频法(M/T 法),多周期同步测频法。下面是几种方案的具体方法介绍。 脉冲数定时测频法(M 法):此法是记录在确定时间Tc 内待测信号的脉冲个数Mx ,则待测频率为: Fx=Mx/ Tc 脉冲周期测频法(T 法):此法是在待测信号的一个周期Tx 内,记录标准频率信号变化次数Mo。这种方法测出的频率是: Fx=Mo/Tx 脉冲数倍频测频法(AM 法):此法是为克服M 法在低频测量时精度不高的缺陷发展起来的。通过A 倍频,把待测信号频率放大A 倍,以提高测量精度。其待测频率为: Fx=Mx/ATo 脉冲数分频测频法(AT 法):此法是为了提高T 法高频测量时的精度形成的。由于T 法测量时要求待测信号的周期不能太短,所以可通过A 分频使待测信号 的周期扩大A倍,所测频率为: Fx=AMo/Tx 脉冲平均周期测频法(M/T法):此法是在闸门时间Tc内,同时用两个计数器分别记录

管理学思考题及参考答案

管理学思考题及参考答案 第一章 1、什么是管理? 管理:协调工作活动过程(即职能),以便能够有效率和有效果地同别人一起或通过别人实现组织的目标。 2、效率与效果 效率:正确地做事(如何做) 效果:做正确的事(该不该做) 3、管理者三层次 高层管理者、中层管理者、基层管理者 4、管理职能和(或)过程——职能论 计划、组织、控制、领导 5、管理角色——角色论 人际角色:挂名首脑、领导人、联络人 信息角色:监督者、传播者、发言人 决策角色:企业家、混乱驾驭者、资源分配者、谈判者 6、管理技能——技能论 用图表达。 高层管理概念技能最重要,中层管理3种技能都需要且较平衡,基层管理技术技能最重要。 7、组织三特征? 明确的目的 精细的结构 合适的人员 第二章 泰罗的三大实验: 泰罗是科学管理之父。记住3个实验的名称:1、搬运生铁实验,2、铁锹实验,3、高速钢实验 4、吉尔布雷斯夫妇 动作研究之父 管理界中的居里夫妇 5、法约尔的十四原则 法约尔是管理过程理论之父 记住“十四原则”这个名称就可以了。 6、法约尔的“跳板” 图。 7、韦伯理想的官僚行政组织组织理论之父。6维度:劳动分工、权威等级、正式甄选、非个人的、正式规则、职业生涯导向。 8、韦伯的3种权力 超凡的权力 传统的权力 法定的权力。 9、巴纳德的协作系统论 协作意愿 共同目标 信息沟通 10、罗伯特·欧文的人事管理 人事管理之父。职业经理人的先驱 11、福莱特冲突论 管理理论之母 1)利益结合、 2)一方自愿退让、 3)斗争、战胜另一方 4)妥协。 12、霍桑试验 1924-1932年、梅奥 照明试验、继电器试验、大规模访谈、接线试验 13、朱兰的质量观 质量是一种合用性 14、80/20的法则 多数,它们只能造成少许的影响;少数,它们造成主要的、重大的影响。 15、五项修炼 自我超越 改善心智 共同愿景 团队学习 系统思考 第三章 1、管理万能论 管理者对组织的成败负有直接责任。 2、管理象征论 是外部力量,而不是管理,决定成果。 3、何为组织文化 组织成员共有的价值观和信念体系。这一体系在很大程度上决定成员的行为方式。 4、组织文化七维度

C语言程序设计基础实验报告6【VIP专享】

实验6 数组 一、实验目的 1、掌握一维数组和二维数组的定义、赋值和输入输出的方法; 2、掌握字符数组和字符串函数的使用; 3、掌握与数组有关的算法(特别是排序算法)。 二、实验内容和步骤 编程序并上机调试运行。 1、以下给定程序MODI1.C的功能是: 求一维数组a中所有元素的平均值, 结果保留两位小数。例如,当一维数组a中的元素为:10, 4, 2, 7, 3, 12, 5, 34, 5, 9时,程序的输出应为:The aver is: 9.10 程序中有两处错误,错误都在提示行:/***********found***********/的下面一行。请改正程序中的错误, 使它能得出正确的结果。 注意:程序中的其它地方请不要随意改动,不得增行或删行,也不得更改程序的结构! #include #include void main() { int a[10]={10,4,2,7,3,12,5,34,5,9}, i; /************found************/ /************found************/ s = a[0]; for ( i=1; i<10; i++) s += a[i]; aver = s / i; printf("The aver is: %.2f\n", aver); } 2、以下给定程序MODI1.C的功能是:求二维数组a中的最小值。 例如,当二维数组a中的元素为: 4 2 34 7 3 12 5 6 5 程序的输出应为:The min is: 2 。 程序中有两处错误,错误都在提示行:/***********found***********/的下面一行。请改正程序中的错误, 使它能得出正确的结果。 注意:程序中的其它地方请不要随意改动,不得增行或删行,也不得更改程序的结构! #include #include void main() { int a[3][3]={4,2,34,7,3,12,5,6,5}, i, j, min; clrscr();

频率计VHDL程序与仿真

数字频率计VHDL程序与仿真 一、功能:频率计。具有4位显示,能自动根据7位十进制计数的结果,自动选择有效数据的高4位进行动态显示。小数点表示是千位,即KHz。 二、源程序及各模块和主要语句的功能 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity plj is port ( start:in std_logic; --复位信号 clk :in std_logic; --系统时钟 clk1:in std_logic; --被测信号 yy1:out std_logic_vector(7 downto 0); --八段码 w1 :out std_logic_vector(3 downto 0)); --数码管位选信号 end plj; architecture behav of PLj is signal b1,b2,b3,b4,b5,b6,b7:std_logic_vector(3 downto 0); --十进制计数器 signal bcd:std_logic_vector(3 downto 0); --BCD码寄存器 signal q :integer range 0 to 49999999; --秒分频系数 signal qq : integer range 0 to 499999; --动态扫描分频系数 signal en,bclk:std_logic; --使能信号,有效被测信号signal sss : std_logic_vector(3 downto 0); --小数点 signal bcd0,bcd1,bcd2,bcd3 : std_logic_vector(3 downto 0); --寄存7位十位计数器中有效的高4位数据begin

第1章思考题及参考答案

第一章思考题及参考答案 1. 无多余约束几何不变体系简单组成规则间有何关系? 答:最基本的三角形规则,其间关系可用下图说明: 图a 为三刚片三铰不共线情况。图b 为III 刚片改成链杆,两刚片一铰一杆不共线情况。图c 为I 、II 刚片间的铰改成两链杆(虚铰),两刚片三杆不全部平行、不交于一点的情况。图d 为三个实铰均改成两链杆(虚铰),变成三刚片每两刚片间用一虚铰相连、三虚铰不共线的情况。图e 为将I 、III 看成二元体,减二元体所成的情况。 2.实铰与虚铰有何差别? 答:从瞬间转动效应来说,实铰和虚铰是一样的。但是实铰的转动中心是不变的,而虚铰转动中心为瞬间的链杆交点,产生转动后瞬时转动中心是要变化的,也即“铰”的位置实铰不变,虚铰要发生变化。 3.试举例说明瞬变体系不能作为结构的原因。接近瞬变的体系是否可作为结构? 答:如图所示AC 、CB 与大地三刚片由A 、B 、C 三铰彼此相连,因为三铰共线,体系瞬变。设该 体系受图示荷载P F 作用,体系C 点发生微小位移 δ,AC 、CB 分别转过微小角度α和β。微小位移 后三铰不再共线变成几何不变体系,在变形后的位置体系能平衡外荷P F ,取隔离体如图所 示,则列投影平衡方程可得 210 cos cos 0x F T T βα=?=∑,21P 0 sin sin y F T T F βα=+=∑ 由于位移δ非常小,因此cos cos 1βα≈≈,sin , sin ββαα≈≈,将此代入上式可得 21T T T ≈=,()P P F T F T βαβα +==?∞+, 由此可见,瞬变体系受荷作用后将产生巨大的内力,没有材料可以经受巨大内力而不破坏,因而瞬变体系不能作为结构。由上分析可见,虽三铰不共线,但当体系接近瞬变时,一样将产生巨大内力,因此也不能作为结构使用。 4.平面体系几何组成特征与其静力特征间关系如何? 答:无多余约束几何不变体系?静定结构(仅用平衡条件就能分析受力) 有多余约束几何不变体系?超静定结构(仅用平衡条件不能全部解决受力分析) 瞬变体系?受小的外力作用,瞬时可导致某些杆无穷大的内力 常变体系?除特定外力作用外,不能平衡 5. 系计算自由度有何作用? 答:当W >0时,可确定体系一定可变;当W <0且不可变时,可确定第4章超静定次数;W =0又不能用简单规则分析时,可用第2章零载法分析体系可变性。 6.作平面体系组成分析的基本思路、步骤如何? 答:分析的基本思路是先设法化简,找刚片看能用什么规则分析。

2020年重庆市中考数学仿真模拟试题(附答案)

2020年重庆市中考数学仿真模拟试题 (附答案) 考生须知: 1.本试卷满分为120分,考试时间为120分钟。 2.答题前,考生先将自己的”姓名”、“考号”、“考场"、”座位号”在答题卡上填写清楚,将“条形码”准确粘贴在条形码区域内。 3.保持卡面整洁,不要折叠、不要弄脏、不要弄皱,不准使用涂改液、修正带、刮纸刀。 第Ⅰ卷选择题(共30分) 一、选择题(每小3分,共计30分。每小超都给出A,B,C,D四个选项,其中只有一个是正确的。)1.下列各数中,绝对值最大的数是() A.5 B.﹣3 C.0 D.﹣2 2.在下面的四个几何体中,它们各自的主视图与左视图不相同的是() A.圆锥 B.正方体 C.三棱柱 D.圆柱 3.下列算正确的是() A.a3+a3=2a6 B.(a2)3=a6 C.a6÷a2=a3 D.(a+b)2=a2+b2 4.函数y=(x﹣1)0中,自变量x的取值范围是() A.x>1 B.x≠1 C.x<1 D.x≥1 5. 如图,直线a∥b,∠1=72°,则∠2的度数是()

A. 118° B. 108° C. 98° D. 72° 6. 如图,菱形纸片ABCD中,∠A=60°,折叠菱形纸片ABCD,使点C落在DP(P为AB中点)所在 的直线上,得到经过点D的折痕DE.则∠DEC的大小为() A. 78° B. 75° C. 60° D. 45° 7.如图是根据某班 40 名同学一周的体育锻炼情况绘制的统计图,该班 40 名同学一周参加体育锻炼时间的中位数,众数分别是() A.10.5,16 B.8.5,16 C.8.5,8 D.9,8 8.如图,小“鱼”与大“鱼”是位似图形,已知小“鱼”上一个“顶点”的坐标为(a,b),那么大“鱼”上对应“顶点”的坐标为()

Verilog程序设计-频率计

EDA课程Verilog程序设计-频率计 module counter(clk,gate_clk_05hz,,clr_clk_1hz,F_OUT0,F_OUT1,F_OUT2,F_IN,Q0,Q1,Q2); output [3:0] Q0,Q1,Q2; output [3:0] F_OUT0,F_OUT1,F_OUT2; output gate_clk_05hz,clr_clk_1hz; input F_IN,clk; reg [3:0] Q2,Q1,Q0; reg [8:0] F_OUT0,F_OUT1,F_OUT2; reg gate_clk_05hz,clr_clk_1hz; div_clk(clk,gate_clk_05hz,clr_clk_1hz); always @(posedge F_IN) begin if(!gate_clk_05hz&&!clr_clk_1hz) begin F_OUT0<=Q0;F_OUT1<=Q1;F_OUT2<=Q2; end else if(!gate_clk_05hz&&clr_clk_1hz) begin Q0<=0; Q1<=0; Q2<=0; end else if(gate_clk_05hz) begin if( Q0 != 9) begin Q0 <= Q0 + 1;end else begin Q0 <=0; if( Q1 != 9) begin Q1 <= Q1 + 1;end else begin Q1 <=0; if( Q2 != 9) begin Q2 <= Q2 + 1; end else begin Q2 <=0; end end end end end endmodule module div_clk(clk,gate_clk_05hz,clr_clk_1hz); input clk; output reg gate_clk_05hz,clr_clk_1hz; reg [7:0] counter1,counter2; //分频计数值 reg gate_clk_05hz,clr_clk_1hz; always @(posedge clk) begin if(counter1==25) begin counter1<=1;clr_clk_1hz<=~clr_clk_1hz; end

C++程序设计实验报告5

《程序设计基础》 实验报告 学号:2016211990 姓名:王贯东 班级:16-计算机科学与技术-1班

学院:计算机与信息学院 实验五指针 1.实验目的要求 (1)掌握指针的概念,学会定义和使用指针变量。 (2)学会使用数组指针和指向数组的指针变量。 (3)学会使用字符串指针和指向字符串的指针变量。 (4)了解指向指针的指针的概念以及其使用方法。 (5)掌握指针、引用、数组做函数参数的传递机制。 (6)*学会使用指向函数的指针变量。 2.实验设备 Visual C++ 6.0 3.实验内容 (1)阅读下面程序,写出其运行结果。

<1> #include sub ( int x , int y , int *z ) { *z = y – x ; } void main( ) { int a,b,c; sub( 10 , 5 , &a ) ; sub( 7, a, &b ) ; sub( a, b, &c ) ; cout << a <<‘,’<< b <<‘,’<< c << endl ; } 解:输出-5,-12,-7 <2> #include #include void main()

{ int stre ( char[ ] ) ; char str [ 10 ] , *p = str ; gets ( p ) ; cout << stre ( p ) << endl ; } int stre ( char str[ ] ) { int num = 0 ; while( * ( str + num ) != ’\0’ ) num ++ ; return ( num ) ; } 解:题目库函数少了 。该正后,输入1234,输出4 (2)编写程序实现下列问题的求解。 (1)输入三个整数,按由小到大的顺序输出,然后将程序改为:输入三个字符串,按由小到大的顺序输出。 #include using namespace std; int turn (int *p1,int *p2)

仿真思考题及答案

1.精馏实验 1.精馏操作回流比: 越大越好 越小越好 以上两者都不对r 2.精馏段与提馏段的理论板: 精馏段比提馏段多 精馏段比提馏段少 两者相同 不一定r 3.当采用冷液进料时,进料热状况q值: q>1r q=1 0

当压力不变时,温度分布仅与板效率、全塔物料的总组成及塔顶液与釜液量的摩尔量的比值有关 8.判断全回流操作达到工艺要求的标志有: 浓度分布基本上不随时间改变而改变r 既不采出也不进料 温度分布基本上不随时间改变而改变r 9.塔压降变化与下列因素有关: 气速r 塔板型式不同r 10.如果实验采用酒精-水系统塔顶能否达到98%(重量)的乙醇产品?(注:95.57%酒精-水系统的共沸组成) 若进料组成大于95.57% 塔顶可达到98%以上的酒精 若进料组成大于95.57% 塔釜可达到98%以上的酒精r 若进料组成小于95.57% 塔顶可达到98%以上的酒精 若进料组成大于95.57% 塔顶不能达到98%以上的酒精r 11.冷料回流对精馏操作的影响为: XD增加,塔顶T降低r XD增加,塔顶T升高 XD减少,塔顶T升高 12.当回流比R

相关主题
文本预览
相关文档 最新文档