当前位置:文档之家› 嵌入式电子菜单的设计

嵌入式电子菜单的设计

嵌入式电子菜单的设计
嵌入式电子菜单的设计

目录

1绪论 (2)

1.1任务的提出 (2)

1.2设计的目的和意义 (2)

2相关知识简介 (2)

2.1开发工具 (2)

2.2开发语言 (2)

2.3开发环境 (3)

3总体设计 (3)

3.1设计的总体结构描述 (3)

3.2功能模块描述 (3)

3.3功能模块的状态转换 (4)

4详细设计 (4)

4.1键盘模块设计 (4)

4.2显示模块设计 (5)

4.3控制模块 (7)

5系统测试 (10)

5.1键盘扫描模块 (10)

5.2键盘译码 (10)

5.3多位加法器 (10)

5.4七段数码管 (11)

5.5 RAM存储器 (11)

5.6系统控制器 (11)

6结论 (12)

7致谢 (12)

电子菜单的设计

1绪论

嵌入式系统是以应用为中心,软硬件可裁剪,适应应用系统对功能、可靠性、成本、体积、功耗等综合性严格要求的专用计算机系统。嵌入式系统主要由嵌入式处理器、相关支撑硬件、嵌入式操作系统及应用软件等组成,它是集软硬件于一体的可独立工作的“器件”。

1.1任务的提出

本课题的任务即是设计一种简单的电子点菜单终端,以CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统为硬件平台,利用VHDL语言进行编程,要求实现的电子点菜单功能齐全,使用方便。

1.2设计的目的和意义

餐馆引进电子点菜系统,具有重大的意义:首先,提高运营效率与降低运营成本,使用电子点菜系统可以加快点菜速度,减少人力资源的投入,避免跑、冒、漏;其次,提升餐馆品牌与形象,电子点菜系统是一个高科技产品,它代替传统的纸笔式点菜方式给人一种高贵的感觉;最后,营造一个安静、舒服的就餐环境,传统的点菜方式在某些环节中出现大声喧哇的场面,电子点菜系统则可以避免这类情况的出现。

2相关知识简介

2.1开发工具

本实验系统是在CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统基础上研发而成,具有完备的外部接口电路模块,并继承了该系统开放性的特点。系统由开发板(含主板、底板)、ByteBlaster II并口下载板(含电缆)和12V直流电源三个组成部件构成。采用Altera公司的Cyclone EP1C6Q240系列FPGA为主芯片,包括系统时钟、SRAM 存储器、FLASH存储器、网络接口芯片、串行配置芯片、音频编码/解码芯片、模数转换器、数模转换器、键盘、显示器和串行接口等外围电路模块。

2.2开发语言

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,

一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

2.3开发环境

Quartus II 是Altera公司的综合性PLD开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

3总体设计

3.1设计的总体结构描述

本系统为一个电子点菜终端的简洁型系统,该系统以CYC-L2系统为主要核心,采用4*4的键盘,前12个键为菜单,8个数码管显示总价格,单价,已点菜数量;后四个键分别实现:结账,已点查询,删除,确认。4组LED灯分别表示菜的口味:酸,甜,麻,辣,以点亮的灯数表示口味浓度。

3.2功能模块描述

本系统主要由3个模块构成,键盘模块、控制模块和显示模块。键盘模块是由一个4*4矩阵键盘实现数据的输入控制,通过行扫描法将对应的值输入到控制模块,进行相应的操作。控制模块控制显示模块的显示,存储点菜数据信息,对点菜数据进行处理,并完成最后的结算。显示模块显示菜的单价、数量、口味和总价。

3.2.1键盘模块

键盘模块由4*4的键盘组成,3*4键盘代表一个菜名,共可容纳12个菜名,最后一排为功能键。当顾客选择一个菜名时,通过键位的触发连接到系统中的数据信息,显示该菜的单价和口味;选择菜名之后,如果满意则按确认,系统记录相关数据,不满意则重新选择。按下已点键,可以显示顾客已点菜的序号,单价,口味,再按一次则显示下一个已点菜,按删除,则取消该菜,按确认之后重新进入菜单。当按下“结账”键之后,显示应付金额(包括折扣,附加消费等等)。

3.2.2显示模块

由8个LED数码管,8个LED灯和一个显示屏组成,前4个数码管显示总价格,中间2个显示所选菜名的单价,最后2个显示已点菜的数量。在管理员使用改价键时,数码管则显示8位密码。8个LED灯分为4组,分别表示4种口味:酸,甜,麻,辣,每组2个灯都不亮表示无此味道;1个灯亮表示有此味道;如:微辣,微甜等;2个灯表示此味很重。以此来大概描述菜的口味。最后在存储器中存储菜名的实物图片,在选择菜名时显示相应的图片,方便顾客了解菜的外观。

3.2.3控制模块

控制器首先接收键盘模块的有效信息,如果键盘有键按下,则根据键盘扫描值经译码,判断是进入点菜状态还是功能选择状态,如果进入点菜状态,显示对应的菜单信息:单价,口味,图片信息。在接收到功能键的有效信号时,进入到控制状态。3.3功能模块的状态转换

系统一直处于点菜等待状态,当客人开始点菜时,则进入点菜状态。系统对客人选择的菜进行及时的显示,以便及时了解所点菜的特色,如按下功能键,进入功能控制状态,系统进行数据信息的处理。如再按下菜单键,回到点菜状态。最后,结帐完毕,返回点菜等待状态。系统的功能转化图如图3.3所示。

4详细设计

4.1键盘模块设计

4.1.1键盘扫描

计算机键盘通常采用行列扫描法来确定按下键所在的行列位置。所谓行列扫描法是指,把键盘按键排列成n行×m列的n×m行列点阵,把行、列线分别连接到两个并行接口双向传送的连接线上,依次对每一行发出一个低电平,对列线进行检测,如显示低电平,则确定按键。

算法的实现过程如下:CPU以一定时间间隔周期地执行此键盘扫描处理程序。先是对键盘作扫描,获得反映键盘状态的键盘扫描码;然后对扫描码进行前后对比和定时计数,实现去抖;去抖后置位KReady标志,通知键盘分析程序已检测到有效按键。键盘扫描码反映的是读取键盘时刻的键盘动作状态。对键盘扫描码的基本要求是它要能对每一个单键作唯一编码。

4.1.2键盘译码器

通过一个译码程序,将键盘扫描后的值根据需要设定成相应的功能键和菜单键。程序设计如下:

ima:PROCESS(SC_CLK)

BEGIN

Z<=KEY_DRV & KEY_IN; --将扫描信号和消抖动后的按键输入值存入Z

IF SC_CLK'EVENT AND SC_CLK='1' THEN

CASE Z IS --数字按键译码电路

WHEN "11100111"=> K_VALUE<=0; WHEN "11101011"=> K_VALUE<=1;

WHEN "11101101"=> K_VALUE<=2;WHEN "11101110"=> K_VALUE<=3;

WHEN "11010111"=> K_VALUE<=4;WHEN "11011011"=> K_VALUE<=5;

WHEN "11011101"=> K_VALUE<=6;WHEN "11011110"=> K_VALUE<=7;

WHEN "10110111"=> K_VALUE<=8;WHEN "10111011"=> K_VALUE<=9;

WHEN "10111101"=> K_VALUE<=10;WHEN "10111110"=> K_VALUE<=11;

WHEN "01110111"=> K_VALUE<=12;WHEN "01111011"=> K_VALUE<=13;

WHEN "01111101"=> K_VALUE<=14;WHEN "01111110"=> K_VALUE<=15;

WHEN OTHERS=> K_VALUE<=K_VALUE; --默认情况为K_VALUE保持原来状态,相当于实现锁存器

END CASE;

4.2显示模块设计

4.2.1菜单显示

接收译码器的K_VALUE,显示相应的菜单信息,

单价显示和口味显示的源代码如下,其中,qw代表口味,dj代表单价。

entity myJP is

port (cd :in std_logic_vector(3 downto 0);

qw :out std_logic_vector(7 downto 0);

dj :out std_logic_vector(3 downto 0));

end entity myJP;

architecture SE of myJP is

begin

with cd select

qw <="00010101" when "0100","00001111" when "0101",

"00010011" when "0110","00001101" when "0111",

"01010001" when "1000", "00110000" when "1001",

"00010000" when "1010", "00000101" when "1011",

"11000101" when "1100", "00010001" when "1101",

"01000101" when "1110", "11110001" when "1111",;

with cd select

dj<= "1000" when "0100",

"1010" when "0101", "0111" when "0110",

"0101" when "0111", "0110" when "1000",

"1010" when "1001","1100" when "1010",

"1000" when "1011","1111" when "1100",

"0011" when "1101", "0101" when "1110",

"0110" when "1111","0000" when others;

end architecture SE;

4.2.2 口味显示

通过CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统自带的8个LED,显示当前所选菜的口味。8个LED灯分为4组,分别表示4种口味:酸,甜,麻,辣,每组2个灯都不亮表示无此味道;1个灯亮表示有此味道;如:微辣,微甜等;2个灯表示此味很重。效果图如下:

4.2.3单价显示

单价显示主要由LED数码管实现。分段式显示器(LED数码管)由7条线段围成,只要按规律控制各发光段的亮、灭,就可以显示各种字形或符号。LED数码管有共阳、共阴之分。图4 .2是共阴式LED数码管的原理图。使用时,公共阴极接地,7个阳极a~g由相应的BCD七段译码。

BCD七段译码器的输入是1位BCD码(以D、C、B、A表示),输出是数码管各段的驱动信号(以Fa~Fg表示),也称4-7译码器。若用它驱动共阴LED数码管,则输出应为高有效,即输出为高(1)时,相应显示段发光。根据组成0~9这10个字形的要求可以列出8421BCD七段译码器的真值表。

程序设计如下:

architecture ex1 of seven is

begin with A select

Z <="1110111" when "0000","0010010" when "0001",

"1011101" when "0010","1011011" when "0011",

"0111010" when "0100","1101011" when "0101",

"1101111" when "0110","1010010" when "0111",

"1111111" when "1000","1111011" when "1001",

"1101101" when "1010","1101101" when "1011",

"1101101" when "1100","1101101" when "1101",

"1101101" when "1110","1101101" when "1111",

"0000000" when others;end architecture ex1;

4.3控制模块

控制模块的实现主要是使用状态机的设计思路,在控制模块中有6个状态:点菜状态、等待状态、确认状态、删除状态、已点查询状态和结帐。每个状态产生不同的

控制信号,用于过程的实现。其中包含2个进程,标识为seq和com,seq进程用于建模次态和输出逻辑,com进程用于建模状态寄存器。

在com进程中,设定了相应的功能键,YES表示确认键,DEL表示删除键,HAV表示已点查询键,OVER表示结帐。

控制器状态机和控制器ASM图如下图所示:

4.3.1 RAM的设计

系统采用静态RAM,大小为16×8Bit,因为数据既可以存储与RAM中,也可以从RAM读取,所以数据端口为INOUT模式。RAM有3个控制信号:CS(片选),WE(写使能),OE(输出使能),均为低电平有效。

library ieee;

use ieee.std_logic_1164.all;

entity ram is

port(address : in integer range 0 to 15;

data: inout std_logic_vector(7 downto 0);

cs, we,oe: in std_logic);

end entity ram;

architecture RTL of ram is

begin

p0: process (address,cs,we,oe,data) is

type ram_array is array (0 to 3) of std_logic_vector(7 downto 0);

variable mem:ram_array;

begin

data<=(others=>'Z');

if (cs='0') then

if (oe='0') then

data<=mem(address);

elsif we='0' then

mem(address) :=data;

end if;

end if;

end process p0;

end architecture RTL;

4.3.2 加法器的设计

加法器由一位全加器的简单模型构成行波进位加法器,通过将模型多次实例化构件一个8位的加法器。行波进位加法器由一位全加器级联组成,由低位相加,低位的进位作为下一位的进位CIN的输入,依次相加,本设计需要进行8位的加法运算。程序设计如下:

entity jfq1 is

port( A, B : in std_logic_vector(0 to 7);

CIN: in std_logic;

SUM: out std_logic_vector(0 to 11);

COUT: out std_logic);

architecture NA of jfq1 is

signal carry: std_logic_vector(0 to 8);

begin

g1:for i in 0 to 7 generate

lt: if i=0 generate

f0:entity WORK.myNA port map (A(i),B(i),CIN,SUM(i),CARRY(i+1));

end generate lt;

rt: if i=7 generate

fn:entity WORK.myNA port map (A(i),B(i),CARRY(i),SUM(i),COUT);

end generate rt;

md: if i>0 and i<7 generate

fm:entity WORK.myNA port map (A(i),B(i),CARRY(i),SUM(i),CARRY(i+1));

end generate md;

5系统测试

该课题完成了一种简单的电子点菜单终端的设计,利用VHDL语言进行编程,用FPGA芯片得以实现。以CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统为硬件平台,实现客人的自主点菜过程,功能齐全,使用方便。各模块测试情况如下。

5.1键盘扫描模块

对键盘进行扫描,消抖,每一个单键作唯一编码,作为扫描值输出。

5.2键盘译码

将扫描键盘后得到的KEY值,通过译码设定为菜单键和功能键:KEY值0000—0111分别为:确认,删除,已点,结帐;KEY值1000—1111为菜单。译码器原理图见图5.3所示:

5.3多位加法器

将从存储器中得到的值分别作为A,B两个8位输出,总价SUM通过3个七段数码管输出。原理图见图:

5.4七段数码管

用于显示系统需要输出的单价,总价信息,原理图见图5.7所示:

5.5 RAM存储器

用于存储菜单数据信息,DATA为INOUT模式,提供3个控制信号,第一个控制信号CS为芯片选择是选定芯片的通用控制信号。地址范围为0到15,WE和OE 只有其中一个有效:WE信号有效,将数据写入;OE信号有效,将数据从芯片中读出。3个控制信号都是低电平有效。原理图见图5.9所示:

5.6系统控制器

为系统的核心部分,控制各个模块之间的工作,cd_v接受键盘的译码值,ADD,DATA,CS,WE,OE为存储的控制信号,cd_out为菜单信息输出,A,B为8位的单价输入,SUM为总价的12位输出。电路符号如下图所示:

6结论

该课题是利用ALTERA公司的QUARTUS开发环境和软件,进行VHDL程序设计,然后进行仿真,调试,以CYC-L2 V2.0便携式EDA/SOPC/DSP实验系统为硬件平台,实现了一个简单的电子点菜单终端的设计。本设计也存在一定的不足。由于本身能力和实验器材的限制,无法在系统中建立完善的体制,只是实现了一个电子点菜单的基本功能。图片显示,密码修改以及菜单改价的功能,都没有在系统中实现,这些都有待以后的继续提高和完善

7致谢

经过一学期的自我学习和努力,在老师和同学的帮助下,去认真完成我的设计,使我从中受益匪浅。这是本科学习阶段一次非常难得的理论与实际相结合的机会,通过这次比较完整的系统设计,我摆脱了单纯的理论知识学习状态,和实际设计的结合锻炼了我的综合运用所学的专业基础知识,解决实际工程问题的能力,同时也提高我查阅文献资料、设计手册、设计规范以及电脑制图等其他专业能力水平,而且通过对整体的掌控,对局部的取舍,以及对细节的斟酌处理,都使我的能力得到了锻炼,经验得到了丰富,各方面都得到不同程度的提升。对于在设计过程中帮助过我的老师和同学,我在此对你们表示衷心的谢意和崇高的敬意。或许感谢的话语你们听了很多,也看了很多,但是我相信每一份谢意,都是满满的,满载着一个学生在走向社会的时候,对曾经孜孜不倦教诲过自己的老师的诚挚的心。

嵌入式简易电子琴系统设计.

嵌入式开发试验 嵌入式简易电子琴系统设计 ARM2110实验开发板,外接矩阵键盘、蜂鸣器、LED显示屏、LED灯模块(带4.7K电阻)主程序 #include "systemInit.h" #include "buzzer.h" #include "music.h" #include "systemInit.h" #define lcden GPIO_PIN_0 //PB0; #define lcdrs GPIO_PIN_2 // 定义LED #define LED1_PERIPH #define LED1_PORT #define LED1_PIN #define LED2_PERIPH #define LED2_PORT #define LED2_PIN #define LED3_PERIPH #define LED3_PORT #define LED3_PIN #define LED4_PERIPH #define LED4_PORT #define LED4_PIN #define LED5_PERIPH #define LED5_PORT #define LED5_PIN #define LED6_PERIPH #define LED6_PORT #define LED6_PIN #define LED7_PERIPH #define LED7_PORT #define LED7_PIN #define LED8_PERIPH #define LED8_PORT #define LED8_PIN

// 定义KEY #define KEY_PERIPH2 #define KEY_PORT2 #define KEY_PIN2 //PB2; SYSCTL_PERIPH_GPIOA GPIO_PORTA_BASE GPIO_PIN_4 SYSCTL_PERIPH_GPIOA GPIO_PORTA_BASE GPIO_PIN_5 SYSCTL_PERIPH_GPIOA GPIO_PORTA_BASE GPIO_PIN_6 SYSCTL_PERIPH_GPIOG GPIO_PORTG_BASE GPIO_PIN_0 SYSCTL_PERIPH_GPIOF GPIO_PORTF_BASE GPIO_PIN_2 SYSCTL_PERIPH_GPIOG GPIO_PORTG_BASE GPIO_PIN_1 SYSCTL_PERIPH_GPIOE GPIO_PORTE_BASE GPIO_PIN_0 SYSCTL_PERIPH_GPIOE GPIO_PORTE_BASE GPIO_PIN_1 SYSCTL_PERIPH_GPIOB GPIO_PORTB_BASE GPIO_PIN_6|GPIO_PIN_5|GPIO_PIN_4 //连接方式矩阵键盘的行线接PC4~7 列线接PA0~3 unsigned char data; //PD0-PD7; unsigned char num; int guan; int jian; int numm;//lcd显示模块技术 //lcd模块 void write_com(unsigned char com) //写命令 { GPIOPinWrite(GPIO_PORTB_BASE,lcdrs,0x00); // lcdrs=0; GPIOPinWrite(GPIO_PORTD_BASE,GPIO_PIN_0|GPIO_PIN_1|GPIO_PIN_2| GPIO_PIN_3|GPIO_PIN_4|GPIO_PIN_5|GPIO_PIN_6|GPIO_PIN_7,com);//PD=com; SysCtlDelay(5 * (TheSysClock / 4000)); // 延时 5ms } void write_data(unsigned char date) { GPIOPinWrite(GPIO_PORTB_BASE,lcdrs,0xFF); // lcdrs=1; GPIOPinWrite(GPIO_PORTD_BASE,GPIO_PIN_0|GPIO_PIN_1|GPIO_PIN_2| GPIO_PIN_3|GPIO_PIN_4|GPIO_PIN_5|GPIO_PIN_6|GPIO_PIN_7,date);//PD=date; SysCtlDelay(5 * (TheSysClock / 4000)); GPIOPinWrite(GPIO_PORTB_BASE,lcden,0xFF); // lcden=1;

电子地图设计-导航电子地图设计方案-设计与实现

武汉大学试卷纸 GPS电子地图的设计 李庆君 (武汉大学资源与环境科学学院,湖北武汉,430000) 摘要随着计算机科学技术和地理信息系统以及全球卫星定位系统(GPS)的发展,产生了一门新的应用领域——GPS 电子地图。根据电子地图的特点,介绍了GPS 电子地图系统的结构、功能、实现原理、系统结构、功能设计、技术难点及解决方法等关键技术。 关键词电子地图,地理信息系统(GIS),全球定位系统(GPS) 1 引言 近年来,我国车载导航技术得到了很大的发展,导航电子地图的覆盖范围也在逐步扩大,但现势性距实际导航需求还有一定差距。为加快导航电子地图采集与更新,建立一个高效率的导航电子地图的制作系统很有必要。 导航电子地图是将GPS或北斗接收到的卫星信息经过计算机处理后,把GPS或北斗接收器所在位置定位在地理底图上,并显示在屏幕上GPS 电子地图是导航、计算机图形学、数据库、地理信息系统(GIS)等技术的综合应用,而且它已经越来越多地受到人们的重视,并已被广泛应用到诸多领域,它可安装在移动目标(例如车船、飞机)上,也可用于目标跟踪。本文以笔者参与开发的系 统为例详细介绍该类系统的设计与实现技术。 2 原理及系统结构 2.1 原理 系统主要分为采集和编辑2个模块。采 集是利用GPS技术进行导航和道路信息采集,其工作原理是:两台测量型GPS接收机,一 台为固定站,放置在已知控制点上,另一台 放置在车辆上作为流动站,流动站GPS接收 机与便携机联机作业,系统采集模块同时进 行GPS定位数据采集存储,单点定位方式导 航可视化采集道路属性信息和兴趣点等信息。在采集结束后,把固定站数据与流动站数据 进行后差分处理,从而获得高精度道路坐标。

现浇箱梁支架设计计算书.

现浇箱梁支架设计计算书 第一章编制依据 1、编制依据 1.1施工合同文件及其他相关文件。 1.2工地现场考察所获取的资料。 1.3《公路桥涵施工技术规范》JTG/T F50-2011。 1.4《公路工程质量检验评定标准》JTG F80-2004。 1.5《公路工程施工安全技术规范》JTJ076-95。 1.6《公路工程水泥及水泥混凝土试验规程》JTG E30-2005。 1.7《建筑施工模板安全技术规范》JGJ 162-2008 1.8《建筑施工扣件式钢管脚手架安全技术规范》JGJ 130-2011 1.9《建筑施工高处作业安全技术规范》JGJ 80-91 1.10《建筑结构荷载规范》GB50009-2001(2006年版) 第二章工程概况 本工程为新建桥梁,起点桩号K3+799.97,终点桩号K3+866.03,桥长 66.06m 。桥跨布置为一联,具体分跨为:(16+27+16)m 。主桥箱梁采用C50混凝土。桥梁支架位于地势较低的水田之中,在进行支架搭设前应进行地基处理。 1 上部结构采用现浇预应力砼变截面连续箱梁,桥梁与道路成75°夹角,分为上下行两座独立的桥梁。桥梁平面位于R=1200mm的圆弧上,纵断面位于0.54%的上坡上。

2 桥梁左、右幅不等宽,左幅桥梁宽度为25.25m ,右幅桥梁宽度为22.5m ,两幅桥梁之间设置1.0m 的中央分隔带。左幅桥具体布置为:6m (人行道、非机动车 道)+1.5m(机非分隔带)+17.25m(机动车道)+0.50m(防撞栏)=25.25m;右幅桥具体布置为:6m (人行道、非机动车道)+1.5m(机非分隔带)+14.5m (机动车道)+0.50m(防撞栏)=22.5m。上部结构为(16+27+16)m 变截面预应力砼连续箱梁。桥墩处梁高1.7m ,桥台和中跨跨中梁高为1.1m ,采用二次抛物线过渡,过渡段的方程式为Y=0.004167X2+1.1。左幅桥箱梁顶板宽25.25m ,底板宽20.25m ,悬臂宽 2.5m ,为单箱五室结构;右幅桥箱梁顶板宽22.5m ,底板宽17.5m ,悬臂宽2.5m ,为单箱五室结构。标准段跨中顶板厚度25cm ,底板厚度22cm ,腹板厚50cm 。支座附近顶板厚度50cm ,底板厚度47cm ,腹板厚65cm 。支点处设横隔梁,中横隔梁宽2.0m ,端横隔梁宽1.2m 。 3 桥台采用座板式桥台,基础采用冲击钻钻孔灌注桩基础,桥台桩基直径为 1.5m ,按嵌岩桩设计,要求嵌入中风化石飞岩深度不小于1.0D (D 为桩基直径)。台背回填透水性较好的砂砾石,回填尺寸按施工规范要求确定,回填时要求分层压实,压实度不小于96%。桥墩采用柱式桥墩,墩柱间设系梁。桥面横坡:采用 2.0%双向横坡,坡向外侧,桥面横坡通过箱梁斜置形成,箱梁顶、底板始终保持平行。 4 桥面铺装:4cm 厚改性沥青砼(AC-13C )+ 5 cm厚中粒式沥青砼(AC- 20C )防水层,铺装总厚9cm 。桥面排水:桥面设置泄水管,直接将桥面雨水导入道路排水系统。 5 伸缩缝:为了保证梁能自由变形,在0#、3#桥台处设置GQF-Z60型伸缩缝。支座采用GPZ (2009)桥梁盆式橡胶支座。

电子系统综合设计报告

电子系统综合设计报告 姓名: 学号: 专业: 日期:2011-4-13 南京理工大学紫金学院电光系

摘要 本次课程设计目的是设计一个简易温度控制仪,可以在四联数码管上显示测得的温度。主要分四部份电路:OP07放大电路,AD转换电路,单片机部分电路,数码管显示电路。设计文氏电桥电路,得到温度与电压的关系,通过控制电阻值改变温度。利用单片机将现在温度与预设温度进行比较,将比较结果在LED数码管上显示,同时实现现在温度与预设温度之间的切换。 关键词放大电路转换电路控制电路显示

目录 1 引言 (4) 1.1 系统设计 (4) 1.1.1 设计思路 (4) 1.1.2 总体方案设计 (4) 2 单元模块设计 (5) 2.1 各单元模块功能介绍及电路设计 (5) 2.1.1 温度传感器电路的设计 (5) 2.1.2 信号调理电路的设计 (5) 2.1.3 A/D采集电路的设计 (5) 2.1.4 单片机电路 (6) 2.1.5 键盘及显示电路的设计 (6) 2.1.6 输出控制电路的设计 (6) 2.2元器件的选择 (6) 2.3特殊器件的介绍 (7) 2.3.1 OP07A (7) 2.3.2 ADC0809 (7) 2.3.3 ULN2003 (9) 2.3.4 四联数码管(共阴) (9) 2.4各单元模块的联接 (10) 3.1开发工具及设计平台 (11) 3.1.1 Proteus特点 (11) 3.1.2 Keil特点 (11) 3.1.3 部分按键 (12) 4 系统测试 (17) 5 小结和体会 (20) 6 参考文献 (21)

1 引言 电子系统设计要求注重可行性、性能、可靠性、成本、功耗、使用方便和易维护性等。总体方案的设计与选择:由技术指标将系统功能分解为:若干子系统,形成若干单元功能模块。单元电路的设计与选择:尽量采用熟悉的电路,注重开发利用新电路、新器件。要求电路简单,工作可靠,经济实用。 1.1 系统设计 1.1.1 设计思路 本次实验基于P89L51RD2FN 的温控仪设计采用Pt100温度传感器。 1.1.2 总体方案设计 热敏电阻测温调理电路 设计要求 1.采用Pt100温度传感器,测温范围 -20℃ --100℃; 2.系统可设定温度值; 3.设定温度值与测量温度值可实时显示; 4.控温精度:±0.5℃。 设定输入 单片机 LED 显示 控制输出 双向可 控硅 继电器 控制 对象 风扇 信号调 理电路 A/D 采集 电路 加热丝 传

嵌入式电子琴设计

前言 现代的流行音乐离不开电子琴,键盘手一般是现代电声乐队的中坚力量。(单排键)电子琴、电吉他、架子鼓是流行音乐的三项主流乐器。电子琴的发明使人们可以演奏出未曾拥有的音色,丰富了人们情感的表现;电子琴创造出了许多其他乐器无法演奏出的音色,甚至自然不存在的音色,这些音色帮助了人们通过音乐表现自己的情感,在很多电视节目或者音乐作品中都有运用。电子琴的发明推动了音乐的普及,它让音乐真正成为了大众的音乐,成为了人类社会不可缺少的东西。电子琴是目前用于音乐普及教育和音乐素质培养最多的乐器,它的经济性为他在普通家庭中的普及带来了可能。 本文主要介绍的是使用LM3S8962来实现基于Cortex-M3简易电子琴系统设计的方法,本设计由嵌入式芯片LM3S8962、音乐播放、单音节按键和数码管显示为核心,辅以必要的电路,构成了一个简易的电子琴。当程序开始执行后,播放梁祝音乐,按下功能键后,切换至单音节演奏。本设计的主要内容:了解嵌入式技术的发展现状,熟悉电子琴各模块的工作原理;选择适当的芯片和元器件,确定系统电路,绘制电路原理图,尤其是各接口电路;熟悉嵌入式使用方法和编程规则,编写出相应模块的应用程序;分别在各自的模块中调试出对应的功能,并使用真实器件播放音乐,实现功能切换,验证程序的正确性。

1.课题知识介绍 1.1 Cortex-M3概述 ARM Cortex-M3处理器结合了多种突破性技术,令芯片供应商提供超低费用的芯片,仅33000门的内核性能可达1.2DMIPS/MHz。该处理器还集成了许多紧耦合系统外设,令系统能满足下一代产品的控制需求。 Cortex-M3内核主要是应用于小管脚数、低成本和低功耗的场合,并且具有极高的运算能力和极强的中断响应能力。Cortex-M3采用了新型的单线调试(Single Wire)技术,专门拿出一个引脚来做调试,从而节约了大笔的调试工具费用。同时,Cortex-M3中还集成了大部分存储器控制器,这样工程师可以直接在MCU外连接Flash,降低了设计难度和应用障碍。 CM3是一个32位处理器内核,内部数据路径是32位,寄存器是32位,存储器接口也是32位。CM3采用哈佛结构,拥有独立的指令总线和数据总线,可以让取指与数据访问并行不悖。这样一来,数据访问不再占用指令总线,从而提升了性能。为了实现这个特性,CM3内部含有好几条总线接口,每条都为自己的应用场合优化过,并且可以并行工作。但是,指令总线和数据总线共享同一个存储器空间,一个统一的存储器系统。也就是说,不是因为有两条总线,可寻址空间就变成了8G。 1.2 LM3S8962概述 LM3S8962微控制器的优势还在于能够方便的运用多种微控制器的优势还在于能够方便的运用多种ARM的开发工具和片上系统(SoC)的底层IP应用方案,以及广大的用户群体。另外,该微控制器使用了兼容ARM Thumb?的Thumb2指令集来减少存储容量的需求,并以此达到降低成本的目的。最后,LM3S8962微控制器与tellaris?系列的所有成员是代码系列兼容的,这为用户提供了灵活性,能够适应各种精确的需求。

单片机电子时钟的设计报告

目录 1 引言 (1) 2 设计任务与要求 (2) 2.1. 设计题目 (2) 2.2. 设计要求 (2) 3 系统的功能分析与设计方案 (3) 3.1. 系统的主要功能 (3) 3.2. 系统的设计方案 (3) 3.3. 数码管显示工作原理 (4) 3.4. 电路硬件设计 (5) 3.4.1. 设计原理框图 (5) 3.4.2. 电源部分 (5) 3.4.3. 复位电路 (6) 3.4.4. 指示灯电路 (6) 3.4.5. 按键电路 (7) 3.4.6. 时钟电路 (7) 3.4.7. 驱动电路 (8) 3.4.8. 数码管连接电路 (8) 3.4.9. 主控模块AT89S52 (9) 3.4.10. 材料清单 (10) 3.4.11. 电路原理图、PCB图及实物图 (11) 3.5. 软件设计 (13) 3.5.1. 软件设计流程 (13) 3.5.2. 完整源程序 (15) 4 系统安装与调试 (21) 4.1. 硬件电路的安装 (21) 4.2. 软件调试 (21) 5 课程设计总结 (22) 参考文献 (23) 致谢 (24)

摘要 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。由于具有上述优点,在我国,单片机已广泛地应用在工业自动化控制、自动检测、智能仪器仪表、家用电器、电力电子、机电一体化设备等各个方面。这次课程设计通过对它的学习、应用,以AT89S52芯片为核心,辅以必要的电路,设计了一个简易的单片机电子时钟,包括硬件电路原理的实现方案设计、软件程序编辑的实现、电子时钟正常工作的流程、硬件的制作与软件的调试过程。电子时钟由5.0V直流电源供电,数码管能够比较准确显示时间,通过按键能够调整时间,从而到达学习、设计、开发软、硬件的能力。 关键词:单片机;AT89S52;电子时钟;数码管;按键

桥梁工程课程设计计算书

桥梁工程课程设计及计算书 设计题目: 桥梁工程课程设计 学院:土木与建筑学院 指导老师:汪峰 姓名: 学号: 班级: 2014年6月

一、基本资料 1.标准跨径:20 m 计算跨径:19.50 m 主梁全长:19.96 m 2.桥面净宽:净7.5 m+2×0.25 m 3. 车辆荷载:公路— 级 4. 人群荷载:3.0 KN/m2 5. 选用材料: 钢筋:采用HRB300钢筋,HRB335钢筋。 混凝土:主梁C40 人行道及栏杆:C25 桥面铺装:C25(重度24KN/m) 6. 课程设计教材及主要参考资料: 《桥梁工程》.姚玲森编.人民交通出版社,1990年 《桥梁工程》.邵旭东等编.人民交通出版社,2007年 《桥梁工程》.范立础编.人民交通出版社,2001年 《简支梁桥示例集》.易建国编.人民交通出版社,2000年 《桥梁工程课程设计指导书》.桥梁教研室.哈尔滨工业大学教材科, 2002年 《梁桥设计手册》.桥梁编辑组.人民交通出版社,1990年 《公路桥涵设计通用规范》(JTG D60-2004)人民交通出版社北京 《拱桥设计手册(上、下)》.桥梁编辑组.人民交通出版社,1990年 《配筋混凝土结构设计原理》袁国干主编,同济大学出版社 二、桥梁尺寸拟定 1.主梁高度:h=1.5m 梁间距:采用5片主梁,间距1.8m。 2.横隔梁:采用五片横隔梁,间距为4×4.85m,梁高1.0m, 横隔 梁下缘为15cm,上缘为16cm。 3.主梁梁肋宽:梁肋宽度为18cm。 4.桥面铺装:分为上下两层,上层为沥青砼厚2.0cm, 下层为C25 防水混凝土垫层厚10.0cm。桥面采用1.5%横坡。 5.桥梁横断面及具体尺寸:(见作图)

电子综合设计-基于单片机多功能数字时钟的设计(附完整程序)

课题:基于51单片机的多功能数字时钟系统设计 一、概述、设计思路 该设计方案是以MC51单片机为核心,采用LCD液晶屏幕显示系统,辅以闹钟模块,温度采集模块、日期提醒、键盘时间调整预设置等模块,所构建的数字时钟系统,能动态显示实时时钟的时、分、秒,数据显示(误差限制在30每天),对闹铃方式与温度调节模块进行了重点设计实现SB0、SB1、SB2、SB3四个键实现时钟正常显示,调时,及闹钟时间设置。本系统设计大部分功能有软件来实现,使电路简单明了,系统稳定性也得大大提高。 二、系统组成与工作原理 1、工作原理: 本设计采用STC89C51单片机作为本次课程设计的控制模块。单片机可把由DS18B20、DS1302、AT24C02中的数据利用软件来进行处理,从而把数据传输到显示模块,实现温度、日历和闹铃的显示。以LCD液晶显示器为显示模块,把单片机传来的的数据显示出来,并且显示多样化,在显示电路中,主要靠按键来实现各种显示要求的选择与切换。 2、总是设计框架图:

图二:系统总体电路图 三、单元电路的设计与分析 整个电子时钟系统电路可分为六大部分:中央处理单元(CPU)、复位电路部分、显示部分、键盘输入部分、温度采集部分。 1、MCS-51单片机 VCC: 89S51 电源正端输入,接+5V。 VSS: 电源地端。

XTAL1: 单芯片系统时钟的反相放大器输入端。 XTAL2: 系统时钟的反相放大器输出端,一般在设计上只要在XTAL1 和XTAL2 上接上一只石英振荡晶体系统就可以动作了,此外可以在两引脚与地之间加入一20PF 的小电容,可以使系统更稳定,避免噪声干扰而死机。 RESET: 89S51的重置引脚,高电平动作,当要对晶片重置时,只要对此引脚电平提升至高电平并保持两个机器周期以上的时间,AT89S51便能完成系统重置的各项动作,使得内部特殊功能寄存器之内容均被设成已知状态,并且至地址0000H处开始读入程序代码而执行程序。 EA/Vpp: "EA"为英文"External Access"的缩写,表示存取外部程序代码之意,低电平动作,也就是说当此引脚接低电平后,系统会取用外部的程序代码(存于外部EPROM中)来执行程序。因此在8031及8032中,EA引脚必须接低电平,因为其内部无程序存储器空间。如果是使用8751 内部程序空间时,此引脚要接成高电平。此外,在将程序代码烧录至8751内部EPROM时,可以利用此引脚来输入21V的烧录高压(Vpp)。 ALE/PROG: 端口3的管脚设置: P3.0:RXD,串行通信输入。 P3.1:TXD,串行通信输出。 P3.2:INT0,外部中断0输入。

小桥计算书

K0+799.79小桥、K4+118小桥上部结构计算书 计算: 复核: 审核: 有限公司 二〇一三年

(一)K0+799.79小桥整体式现浇钢筋砼空心板计算书 1、设计资料 (1)结构型式:本桥上部结构为1×10.0m整体式现浇钢筋砼空心板。 (2)板跨径:板长9.96m,计算跨径9.30m。 (3)桥面宽度:0.50m防撞护栏+8.75m行车道+2.25m人行道护栏,全宽11.50m。(4)设计荷载:公路—Ⅱ级。 (5)环境类别:Ⅰ类。 2、设计依据 (1)《公路桥涵设计通用规范》(JTG D60-2004); (2)《公路钢筋混凝土及预应力混凝土桥涵设计规范》(JTG D62-2004)。 3、计算程序 采用平面杆系计算软件“桥梁博士3.0”进行结构计算。 4、计算荷载 (1)自重 空心板的截面按设计截面尺寸输入,其重量由软件自动计入。 (2)二期恒载 桥面铺装及防撞护人行道栏折合线荷载107kN/m加载。 (3)活载 汽车荷载按规范规定采用。 汽车荷载的冲击系数为:0.273。 (4)温度影响 考虑体系温差±20℃,梯度温度效应按规范要求取值。 (5)收缩徐变 按规范规定取用。 5、计算模型说明 (1)计算模型 空心板现浇长度9.96m,计算跨径9.30m。结构共划分为18个单元,19个节点。如图1所示:

图1 计算模型 (2)施工阶段划分 施工阶段的划分考虑结构的分阶段受力,根据设计图纸将空心板施工划分为3个计算阶段进行模拟。 a、整体现浇空心板; b、施工二期恒载; c、按规范规定进行收缩徐变。 6、验算结果 (1)承载能力极限状态验算 表1 承载力极限状态验算 由上表可见,承载能力极限状态下所有截面抗弯承载力均满足要求。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

第1节 电子系统设计的发展趋势

(1) 电子系统设计的发展主要受以下两个技术的推动: 微电子技术——使得硅片单位面积上集成的晶体管数目越来越多。 计算机技术——软硬件技术的发展推动EDA技术的发展。 (2) 集成电路设计都是从器件的物理版图设计入手 EDA技术发展的推动 (3) 出现集成电路单元库,集成电路设计进入逻辑级,极大地推动IC产业的发展。 电子系统是IC之间通过PCB板等技术进行互联来构成的。PCB板上IC芯片之间连线的延时、PCB板的可靠性、PCB板的尺寸等因素,会对系统的整体性能造成很大的限制。 由IC互联构成的嵌入式系统设计 (4) IC互联构成的系统 (设计和工艺EDA技术 ) SOC——片上系统 SOC是指将一个完整产品的功能集成在一个芯片上或芯片组上。 SOC从系统的整体角度出发,以IP (Intellectual property)核为基础,以硬件描述语言作为系统功能和结构的描述手段,借助于以计算机为平台的EDA工具进行开发。由于SOC设计能够综合、全盘考虑整个系统的情况,因而可以实现更高的系统性能。SOC的出现是电子系统设计领域内的一场革命,其影响将是深远和广泛的。 由SOC构成嵌入式系统设计:

IC:集成电路。 ASIC:专用集成电路。 通用集成电路:FPGA、CPLD等。 SOC:属于专用集成电路。 (1)SOC: 它是指将一个完整产品的各功能集成在一个芯片中,可以包括有CPU、存储器、硬 件加速单元(AV处理器、DSP、浮点协处理器等)、通用I/O(GPIO)、UART接口和模 数混合电路(放大器、比较器、A/D、D/A、射频电路、锁相环等),甚至延伸到传感器、 微机电和微光电单元。(如果把CPU看成是大脑,则SOC就是包括大脑、心脏、眼睛和 手的系统。) SOC系统的构建一个重要特性: 使用可重用的IP来构建系统。可以缩短产品的开发周期,降低开发的复杂度。可重 复利用的IP包括元件库、宏及特殊的专用IP等,如通信接口IP、输入输出接口IP;各家 开发商开发的微处理器IP,如ARM公司的RISC架构的ARM核。SOC嵌入式系统就是微 处理器的IP再加上一些外围IP整合而成的。SOC以嵌入式系统为核心,集软、硬件于一体,并追求最高的集成度,是电子系统设计追求的必然趋势和最终目标,是现代电子系统 设计的最佳方案。SOC是一种系统集成芯片,其系统功能可以完全由硬件完成,也可以由 硬件和软件协同完成。目前的SOC主要指后者。 SOC存在的问题: SoC初衷很好,但现实中却缺乏好的解决方案。由于是基于ASIC实现SoC系统,设 计周期长、费用高昂、成功率不高而且产品不能修改显得系统的灵活性差,往往使得学术 科研机构、中小企业难以承受。但是SoC以系统为中心、基于IP核的多层次、高度复用,可实现软硬件的无缝结合,综合性高。 (2)片上可编程系统(SoPC—System on a Programmable Chip)

基于51单片机的电子时钟设计源程序

#include unsigned char DispBuf[6]; //时间显示缓冲区 unsigned char Disdate[6]; //日期显示缓冲区 unsigned char DisSec[6]; //秒表缓冲区 struct //设定时间结构体 { unsigned char Hour; unsigned char Min; unsigned char Sec; }Time; struct //设定日期结构体 { unsigned char Year; unsigned char Month; unsigned char Days; }Date; struct //设定毫秒结构体 { unsigned char Minite; unsigned char Second; unsigned char MilliSec; }Millisecond; unsigned char point=0; unsigned char point1=0; unsigned char point2=0; unsigned char Daymount; unsigned char Daymount1; unsigned char T0_Int_Times=0; //中断次数计数变量 unsigned char Flash_flag=0; //闪烁标志,每半秒闪烁 unsigned char Flash_flag1=0; //闪烁标志,每半秒闪烁 unsigned char DisPlay_Back=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char DisPlay_Back1=0; //显示缓冲区更新备份,如果显示缓冲区更新则跟闪烁标志不一致 unsigned char i,j; unsigned char SetMillisecond; //启动秒表 code unsigned char LEDCode[]={0x01,0xd7,0x22,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80}; //数码管显示代码 code unsigned char ErrorLEDCode[]={0x01,0xe7,0x12,0x82,0xc4,0x88,0x08,0xc1,0x00,0x80};//绘制错误图纸的数码管显示代码 void DisPlayBuf(); void ChangeToDispCode(); void ChangeToDispCode1(); void changedate(); // 调日期 void displaydate(); // 显示日期 void makedays(); //确定每个月的日期 void runSec();

基于嵌入式QT平台下GISGPS电子定位系统设计

基于嵌入式QT平台下GIS(GPS)电子定位系统设计

————————————————————————————————作者:————————————————————————————————日期:

基于嵌入式QT平台下GIS/GPS电子定位系统设计 兰见春 三明学院物理与机电工程学院 08电子科学与技术 [摘要]:本设计主要由三星ARM9芯片s3c2440、nand flash、nor flash、sdram、群创7寸触摸屏、GPS模块等硬件组成,使用linux2.6.30.4内核,QT4.5嵌入式开发环境,mitab 源码,madplay源码等等软件组成,软硬件组合搭建好嵌入式环境,实现电子地图的显示和定位、语音提示功能。具有精简、高效、调试简单的特点 [关键字]:linux 嵌入式 QT mitab GPS/GIS 第一章引言 1.1背景意义 GPS 是英文Global Positioning System(全球定位系统)的简称,GIS 是在地理学、地图学、测量学和计算机科学等学科基础上发展起来的一门学科,目前市面上的导航仪大部分都是使用WinCE系统,界面很漂亮,支持很多PPC版的导航软件。但是WinCE不开源,对于嵌入式开发不利。本系统采用了开源linux做为系统内核,很好的支持了开发者的开发,同时也支持大量的开源软件。满足嵌入式开发者的各种需求。 系统使用开源的mitab和QT4.5,解决了电子地图图形界面要求,搭配GIS开发软件mapinfo制作的基于WGS84坐标系的电子地图,与sirf第三代的GPS模块,共同实现了电子定位,同时调用madplay MP3播放器,实现语音播报功能。 目前国内关于GPS/GIS的研究已经很火热了,关于GPS的周边学科也得到迅速发展,地图数据公司群雄崛起,导航软件也很出色,往嵌入式发展的趋势已经很明显。Linux平台下的开源导航软件很少,但是支持GPS的源码和工具还是很多,像GPSd,GPSdriver等,这些开源的工具软件给开发者提供很好的开发思路。同时QT4也是linux开发的利器,这几年QT发展迅猛,已超越MFC,仅次与java 。 最近Android(一种以Linux为基础的开放源码操作系统)操作系统很热门,大部分的导航软件的开发都有往安卓发展的趋势。当下苹果的mac操作系统和谷歌的Android系统竞争手持智能设备终端,已经趋于白热化了。 综合上述信息,使用Linux内核+QT4+mitab+mapinfo地图制作嵌入式电子地图,是一种比较精简的做法,满足嵌入式平台小内存、小体积的要求,程序设计过程中,采用尽量压缩地图数据内存的方法,以提高嵌入式平台的反应速度与工作效率。 1.2嵌入式发展和QT介绍 1.2.1嵌入式的发展 近几年,嵌入式系统产品日臻完善,并在全世界各行业得到广泛应用。嵌入式系统产品的研制和应用已经成为我国信息化带动工业化、工业化促进信息化发展的新的国民经济增长

电子时钟的设计及程序

电子时钟的设计及程序 一.设计目的: 1.理解掌握定时/计数器和中断的使用方法。 2.掌握微机常用的输入输出方式及接口技术。 3.掌握一定的汇编语言知识,培养自己的动手操作能力。 4.学习程序设计的基本思路和方法。 二.程序内容: 第一部分:定义显示界面。 第二部分:调用系统时间,并将调用的用二进制表示的时间数转换成ASCII码,并将时间数存入内存区。 第三部分:将存在系统内存区的时间数用显示字符串的形式显示出来。 第四部分:获取键盘的按键值,以ESC键退出系统返回DOS。三.程序设计原理: 首先在数据段开辟一显示缓冲区,用来存储系统时间。调用DOS中断,返回系统时间,并将来返回的二进制时间转换成ASCII码,方便时间显示时的调用。分别将来小时数、分钟数、秒数存入显示缓冲区,并最终存入一结束字符号’$’。调用DOS字符串显示功能将时间显示 出来。并调用屏幕I/O中断,定位光标的开始位置,结合着将时间显示在我们预先定义好的位置上。由于获取了的系统时间不会自动刷

新,所以我们要设计成刷新的方式来不断获取系统的时间,这样就形成了会跳动的电子钟了。调用延时TIME延时中断服务程序,累加到存放秒值的寄存器DL中,并进行十进制调整。在累加的过程中,不断地对时、分、秒值进行比较,秒不能等于60,分不能等于60,时不能等于24。秒等于限制值时,则使秒值为0分值加1;分等于限制值时,则使分值为0时值加1;时等于限制值时,则使时值为0;时、分、秒值都不超过限制值时,就转显示屏输出。时间显示的刷新要配合延时程序进行,为了得到良好的显示效果,延时程序要尽量接近1秒,但又不能超过一秒,所以本程序调用了一段较精确的时间延迟程序。利用BIOSS设计窗口,选择适当的背景和前景等,使屏幕显示更加完美。程序一旦进入运行,就将不间断地在显示屏显示时间,要想程序停止运行,可同时在键盘按下ESC键返回DOS系统。四.程序流程图如下: DATA SEGMENT ;设置数据段 BUF1 DB 'THE TIME IS NOW: $' BUF5 DB ' @@@@@ ^^^^^^^ @@@@@@ $' BUF6 DB ' &&&&&& ####### &&&&&& $' BUF7 DB ' 00 >o o < 00 $' BUF8 DB ' 00 (::) 00 $' BUF9 DB ' 00 ~~ 00 $' BUF10 DB ' 00 !! 00 $' BUF3 DB 'CLASS:040402206 $' BUF4 DB 'NAME:hu ling wei $' BUF2 DB 10 DB 10 DUP(?) DATA ENDS STACK SEGMENT STACK ;设置堆栈段

电子系统综合设计实验报告

电子系统综合设计实验报告 所选课题:±15V直流双路可调电源 学院:信息科学与工程学院 专业班级: 学号: 学生姓名: 指导教师: 2016年06月

摘要本次设计本来是要做±15V直流双路可调电源的,但由于买不到规格为±18V的变压器,只有±15V大小的变压器,所以最后输出结果会较原本预期要小。本设计主要采用三端稳压电路设计直流稳压电源来达到双路可调的要求。最后实物模型的输出电压在±13左右波动。 1、任务需求 ⑴有+15V和-15V两路输出,误差不超过上下1.5V。(但在本次设计中,没有所需变压器,所以只能到±12.5V) ⑵在保证正常稳压的前提下,尽量减小功效。 ⑶做出实物并且可调满足需求 2、提出方案 直流可变稳压电源一般由整流变压器,整流电路,滤波器和稳压环节组成如下图a所示。 ⑴单相桥式整流 作用之后的输出波形图如下:

⑵电容滤波 作用之后的输出波形图如下: ⑶可调式三端集成稳压器是指输出电压可以连续调节的稳压器,有输出正电压的LM317三端稳压器;有输出负电压的LM337三端稳压器。在可调式三端集成稳压器中,稳压器的三个端是指输入端、输出端和调节端。 LM317的引脚图如下图所示:(LM337的2和3引脚作用与317相反)

3、详细电路图: 因为大容量电解电容C1,C2有一定的绕制电感分布电感,易引起自激振荡,形成高频干扰,所以稳压器的输入、输出端常并入瓷介质小容量电容C5,C6,C7,C8用来抵消电感效应,抑制高频干扰。 参数计算: 滤波电容计算: 变压器的次级线圈电压为15V ,当输出电流为0.5A 时,我们可以求得电路的负载为I =U /R=34Ω时,我们可以根据滤波电容的计算公式: C=т/R,来求滤波电容的取值范围,其中在电路频率为50HZ 的情况下,T 为20ms 则电容的取值范围大于600uF ,保险起见我们可以取标准值为2200uF 额定电压为50V 的点解电容。另外,由于实际电阻或电路

第1节 电子系统设计的发展趋势

(1)电子系统设计的发展主要受以下两个技术的推动:?微电子技术——使得硅片单位面积上集成的晶体管数目越来越多。 计算机技术——软硬件技术的发展推动EDA技术的发展。?(2) 集成电路设计都是从器件的物理版图设计入手 EDA技术发展的推动 ?(3) 出现集成电路单元库,集成电路设计进入逻辑级,极大地推动IC产业的发展。?电子系统是IC之间通过PCB板等技术进行互联来构成的。PCB板上IC芯片之间连线的延时、PCB板的可靠性、PCB板的尺寸等因素,会对系统的整体性能造成很大的限制。 由IC互联构成的嵌入式系统设计 (4) IC互联构成的系统 (设计和工艺EDA技术) SOC——片上系统 SOC是指将一个完整产品的功能集成在一个芯片上或芯片组上。 SOC从系统的整体角度出发,以IP (Intellectual property)核为基础,以硬件描述语言作为系统功能和结构的描述手段,借助于以计算机为平台的EDA工具进行开发。由于SOC设计能够综合、全盘考虑整个系统的情况,因而可以实现更高的系统性能。SOC的出现是电子系统设计领域内的一场革命,其影响将是深远和广泛的。 由SOC构成嵌入式系统设计:

IC:集成电路。?ASIC:专用集成电路。 通用集成电路:FPGA、CPLD等。 SOC:属于专用集成电路。 (1)SOC: 它是指将一个完整产品的各功能集成在一个芯片中,可以包括有CPU、存储器、硬件加速单元(AV处理器、DSP、浮点协处理器等)、通用I/O(GPIO)、UART接口和模数混合电路(放大器、比较器、A/D、D/A、射频电路、锁相环等),甚至延伸到传感器、微机电和微光电单元。(如果把CPU看成是大脑,则SOC就是包括大脑、心脏、眼睛和手的系统。) SOC系统的构建一个重要特性: 使用可重用的IP来构建系统。可以缩短产品的开发周期,降低开发的复杂度。可重复利用的IP包括元件库、宏及特殊的专用IP等,如通信接口IP、输入输出接口IP;各家开发商开发的微处理器IP,如ARM公司的RISC架构的ARM核。SOC嵌入式系统就是微处理器的IP再加上一些外围IP整合而成的。SOC以嵌入式系统为核心,集软、硬件于一体,并追求最高的集成度,是电子系统设计追求的必然趋势和最终目标,是现代电子系统设计的最佳方案。SOC是一种系统集成芯片,其系统功能可以完全由硬件完成,也可以由硬件和软件协同完成。目前的SOC主要指后者。 SOC存在的问题: SoC初衷很好,但现实中却缺乏好的解决方案。由于是基于ASIC实现SoC系统,设计周期长、费用高昂、成功率不高而且产品不能修改显得系统的灵活性差,往往使得学术科研机构、中小企业难以承受。但是SoC以系统为中心、基于IP核的多层次、高度复用,可实现软硬件的无缝结合,综合性高。?(2)片上可编程系统(SoPC—Systemona Programmable Chip) ?SoPC是一种灵活、高效的SoC解决方案。它将处理器、存储器、I/O口、LVDS等系统需要的功能模块集成到一个PLD器件上,构成一

电子时钟系统设计

《嵌入式系统》课程设计说明书 电子时钟系统 院部: 学生姓名: 指导教师:职称 专业: 班级: 学号:

湖南工学院嵌入式系统课程设计课题任务书 2.显示的时间为开发板当前的系统时间,显示的结果随着系统时间变化而变 I

摘要 嵌入式操作系统是一种支持嵌入式系统应用的操作系统软件,它是嵌入式系统( 包括硬、软件系统) 极为重要的组成部分,通常包括与硬件相关的底层驱动软件、系统内核、设备驱动接口、通信协议、图形界面、标准化浏览器等Browser 。嵌入式操作系统具有通用操作系统的基本特点,如能够有效管理越来越复杂的系统资源;能够把硬件虚拟化,使得开发人员从繁忙的驱动程序移植和维护中解脱出来;能够提供库函数、驱动程序、工具集以及应用程序。与通用操作系统相比较,嵌入式操作系统在系统实时高效性、硬件的相关依赖性、软件固态化以及应用的专用性等方面具有较为突出的特点。嵌入式技术已成为信息产业中发展最快、应用最广的计算机技术之一,并被广泛应用于网络通信、消费电子、医疗电子、工业控制和交通系统等领域。 本次设计采用QT程序开发框架开发的模拟时钟程序,使用Linux系统到嵌入式终端移植和交叉编译环境搭建,最终成功实现了在嵌入式终端的运行。 关键词:嵌入式系统;QT;模拟时钟;Linux系统

目录 1绪论 (1) 1.1 设计背景 (2) 1.2 设计目的和意义 (2) 2 嵌入式Linux系统 (2) 2.1 嵌入式Linux概念 (2) 2.2 嵌入式Linux组成 (2) 3 Qt工具 (3) 3.1 Qt简介 (3) 3.2 Qt优点 (3) 4 模拟时钟的设计 (4) 4.1 代码的编写 (4) 4.2 代码的调试与运行 (4) 5 模拟时钟到开发板的下载 (6) 5.1 交叉编译环境的构建 (7) 5.2 模拟时钟到开发板的下载运行 (7) 结论 (10) 参考文献 (11) 致谢 (12) 附录 (13)

相关主题
文本预览
相关文档 最新文档