当前位置:文档之家› DC-DC变换器原理

DC-DC变换器原理

DC-DC变换器原理
DC-DC变换器原理

DC-DC变换器原理

DC/DC Converter Principle

太阳电池输出的是直流电,是不是可直接作为直流电源使用呢,对于对电压没有准确要求的微、小型用电设备是可以的,如计算器、玩具等。太阳电池输出电压取决于光伏器件的连接方式与数量,并与负载大小与光照强度直接有关,不能直接作为正规电源使用。通过DC-DC变换器可以把太阳电池输出的直流电转换成稳定的不同电压的直流电输出。DC-DC变换器就是直流——直流变换器,是太阳能光伏发电系统的重要组成部分,下面就其原理作简单介绍。

DC-DC变换基本原理

直流变换电路主要工作方式是脉宽调制(PWM)工作方式,基本原理是通过开关管把直流电斩成方波(脉冲波),通过调节方波的占空比(脉冲宽度与脉冲周期之比)来改变电压。

降压斩波电路

直流斩波电路简单,是使用广泛的直流变换电路。图1左上部是一个斩波基本电路,Ud是输入的直流电压,V是开关管,UR是负载R上的电压,开关管V把输入的Ud斩成方波输出到R

上,图1右上部绿线为斩波后的输出波形,方波的周期为T,在V导通时输出电压等于Ud,导通时间为ton,在V关断时输出电压等于0,关断时间为toff,占空比D=ton/T,方波电压的平均值与占空比成正比。图1下部绿线为连续输出波形,其平均电压如红线所示。改变脉冲宽度即可改变输出电压,在时间t1 前脉冲较宽、间隔窄,平均电压(UR1)较高;在时间t1 后脉冲变窄,平均电压(UR2)降低。固定方波周期T不变,改变占空比调节输出电压就是(PWM)法,也称为定频调宽法。由于输出电压比输入电压低,称之为降压斩波电路或Buck变换器。

图1 DC-DC变换基本原理

方波脉冲不能算直流电源,实际使用要加上滤波电路,图2是加有LC滤波的电路,L是滤波电感、C2是滤波电容、D是续流二极管。当V导通时,L与C2蓄能,向负载R输电;当V关断时,C2向负载R输电,L通过D向负载R输电。输出方波选用的频率较高,一般是数千赫兹至几十千赫兹,故电感体积很小,输出波纹也不大。

图2 降压型DC-DC变换电路该电路输出电压UR=D Ud,D是占空比,值为0至1。

升压斩波电路

通过电感元件还可组成升压斩波电路,见图3,当开关管V导通时,电流通过电感L时会在L 中存储能量,此时负载上的电压由C2提供,当开关管V关断时,电感L释放能量,输出电压为输入电压Ud与L产生的电压相加,故提高了输入电压。

图3 升压型DC-DC变换电路

该电路称为升压斩波电路或Boost变换器,输出电压UR= Ud/(1-D),D是占空比,值必须小于1。

升压斩波电路在新能源控制设备中应用很广,为了减小输出电压的波纹,通常采用多通道多重化并联升压型变换电路,图4是三通道多重化并联升压型变换电路。L1、V1、D1组成通道1,电路与工作原理与前面介绍的单通道升压斩波电路相同;L2、V2、D2组成通道2,电路与工作原理与前面介绍的单通道升压斩波电路相同;L3、V3、D3组成通道3,电路与工作原理与前面介绍的单通道升压斩波电路相同。三个通道开关周期相同、通断时间相同,关键是三个开关管通断时间必须均匀错开才能起到降低输出波纹的作用。多通道并联电路可增大输出电流。

图4 多通道并联升压型DC-DC变换电路

还有既可以降压也可以升压的斩波电路,这里就不介绍了,具体电路与应用等请查阅其他书籍

或资料。

以上电路属单象限变换,电路简单可靠,在小型变换器中应用较多。在下面介绍双象限、四象限电路。

推挽式功率变换电路

推挽式功率变换电路是双象限变换电路,见图5,W1与W2圈数都为N1,构成一个有中间抽头的线圈,作为输出变压器的初级,W3与W4圈数都为N2,构成一个有中间抽头的线圈,作为输出变压器的次级,V1与V2交替导通,在次级就会感生电压,经全波整流后滤波到负载。变压器两侧电压之比为N1与N2之比,通过变压器可输出高低不同的多种电压;而且变压器把太阳电池与用户隔离开来,有利于系统的安全。有关细节请查阅其他书籍或资料。

图5 推挽式变换电路

推挽式功率变换电路电路简单,使用器件少,但开关管要承受两倍的输入电压,一般只在微、小型变换器中使用。

单相全桥变换电路

图6是单相全桥变换电路。

图6 单相全桥变换电路

图中Ud是直流输入电压,为稳定电压配有电容C,4个开关晶体管T1、T2、T3、T4,4个开关管的保护二极管(续流二极管)D1、D2、D3、D4,输出交流电到负载R。下面就具体分析这个电路。图7与图8是输出正向与负向电流流向图,为清晰起见把电路简化,只保留主要器件。

当开关管T1与T4导通,T3、T2关断,时,在负载R上有正向电流通过,输出正向电压,见图7。

图7 单相全桥方波正向输出

当开关管T3与T2导通,T1、T4关断,时,在负载R上有负向电流通过,输出负向电压,见图8。

图8 单相全桥方波负向输出

图9是带变压器输出的全桥直流变换电路,采用变压器T将输入的光伏电源与输出方的负载隔离,并实现电压的变比。在变压器次级采用了桥式全波整流与LC滤波。

图9 变压器隔离的单相全桥变换电路

图10是变压器隔离的单相全桥变换电路主要波形图,图中的控制信号与波形变化顺序如下:

控制开关管V1与V4导通,V3、V2关断,全桥输出电压u1为Ud;到时间ton时,关断开关管V1与V4,全桥输出电压u1为0;

到时间T1时,开关管V3、V2导通,全桥输出电压u1为-Ud;

到时间T1+ton时,关断开关管V3与V2,全桥输出电压u1为0;到时间T2时,开关管V1与V4导通,全桥输出电压u1为Ud;

到时间T2+ton时,关断开关管V1与V4,全桥输出电压u1为0;到时间T3时,开关管V3、V2导通,全桥输出电压u1为-Ud;

到时间T3+ton时,关断开关管V3与V2,全桥输出电压u1为0;到时间T4时,控制开关管V1与V4导通,全桥输出电压u1为Ud;

到时间T4+ton时,……;到时间T5时,……

这样控制各开关管的导通与关断,循环下去全桥输出电压u1(变压器输入电压)波形如图10上部绿色线所示;变压器次级输出交变电压u2如图10中部紫色线所示;桥式整流输出电压u3如图10下部蓝色线所示;滤波后输出到负载上的电压如图10下部红色线所示。

下面请观看全桥直流变换电路工作动画

全桥直流变换电路工作动画

DC-DC变换器可以把直流电转换成不同电压的直流电输出,在光伏发电系统中作为控制器使用,可控制对蓄电池的充电,可作为直流电源使用。

DC-DC变换器的图形符号是见图11。

图11 DC-DC变换器的图形符号

双向DCDC变换器设计

用于锂电池化成系统的桥式DC/DC变换器.......................... 错误!未定义书签。1引言.. (2) 2 双向H桥DC/DC变换器拓扑分析................................ 错误!未定义书签。 双向DC/DC变换器 (3) 双向H桥DC/DC变换器结构分析 (3) 双向H桥DC/DC变换器工作状态分析 (4) 正向工作状态模型分析 (4) 反向工作状态模型分析 (4) 3 硬件电路分析设计............................................ 错误!未定义书签。 器件参数选择分析 (5) 主开关管的选择 (5) 滤波电感参数的计算 (6) 硬件电路分析设计 (6) 驱动电路分析设计 (6) 4 系统结构与控制 (9) 系统结构 (9) 控制系统结构 (9) DC/DC变换器控制方法 (10) 电压控制模式 (10) 电流控制模式 (10) 软件设计 (10) 5 实验调试与结果分析 (11) 实验平台搭建 (11) 样机调试 (12) 供电电源调试 (12) 驱动信号调试 (12) 单片机程序,VB工程调试 (13) 保护与采样电路测试 (14) 开环、闭环测试 (15) 小结 (17) 6 总结 (17) 7 谢辞 (17) 参考文献...................................................... 错误!未定义书签。用于锂电池化成系统的桥式DC/DC变换器 摘要:随着锂电池在生活中各个方面的广泛普及,锂电池在生产过程中重要的化成环节逐渐成为关注的焦点。本文主要设计介绍了使用于锂电池化成系统的桥式变换器部分,包含计算机监控、DC/DC双向变换器。双向DC/DC变换器通过调节MOSFET的占空比,实现对锂电池的智能充放电。本文对双向DC/DC变换器的工作原理进行了分析,并通过样机对预期功能进行验证。 关键字:电池化成;双向DC/DC变换器;实验分析 Abstract:As the lithium battery becomes more and more popular in every aspects of

分析全桥ZVS-PWM变换器的分析与设计

上世纪60年代开始起步的DC/DC PWM功率变换技术出现了很大的发展。后然经过发展,越来越多在各个领域当中应用。但由于其通常采用调频稳压控制方式,使得软开关的范围受到限制,且其设计复杂,不利于输出滤波器的优化设计。本文选择了全桥移相控制ZVS-PWM谐振电路拓扑,在分析了电路原理和各工作模态的基础上,设计了输出功率为200W的DC/DC变换器。 1 电路原理和各工作模态分析 1.1 电路原理 图1所示为移相控制全桥ZVS—PWM谐振变换器电路拓扑。Vin为输入直流电压。Si(i=1.2.3,4)为第i个参数相同的功率MOS开关管。为了防止桥臂直通短路,S1和S3,S2和S4之间人为地加入了死区时间△t,它是根据开通延时和关断不延时原则来设置同一桥臂死区时间。S1和S4,S2和S3之间的驱动信号存在移相角α,通过调节α角的大小,可调节输出电压的大小,实现稳压控制。Lf和Cf构成倒L型低通滤波电路。 图2为全桥零电压开关PWM变换器在一个开关周期内4个主开关管的驱动信号、两桥臂中点电压VAB、变压器副边电压V0以及变压器原边下面对电路各工作模态进行分析,分析时时假设: (1)所有功率开关管均为理想,忽视正向压降电压和开关时时间; (2)4个开关管的输出结电容相等,即Ci=Cs,i=1,2,3,4,Cs为常数; (3)忽略变压器绕组及线路中的寄生电阻; (4)滤波电感足够大。

1.2 各工作模态分析 (1)原边电流正半周功率输出过程。在t0之前,Sl和S4已导通,在(t0一t1)内维持S1和S4导通,S2和S3截止。电容C2和C3被输入电源充电。变压器原边电压为Vin,功率由变压器原边传送到负载。在功率输出过程中,软开关移相控制全桥电路的工作状态和普通PWM硬开关电路相同。 (2)(t1一t1′):超前臂在死区时间内的谐振过程。加到S1上的驱动脉冲变为低电平,S1由导通变为截止。电容C1和C3迅速分别充放电,与等效电感(Lr+n2Lf)串联谐振,在谐振结束前(t2之前),使前臂中心电压快速降低到一0.7V,使D3立即导通,为S3的零电压导通作好准备。 (3)(t1′一t3):原边电流止半周箝位续流过程。S3在驱动脉冲变为高电平后实现了零电压导通,由于D3已提前提供了原边电流的左臂续流回路,虽然两臂中点电压为零,但原边电流仍按原方向继续流动,逐步衰减。 (4)(t3-t4):S4关断后滞后臂谐振过程,t3时加到S4的驱动脉冲电压变为低电平,S4由导通变为截止,原边电流失去主要通道。原边电流以最大变化率从正峰值急速下降。 (5)(t4一t5):电感储能回送电网期。t4时刻D2已导通续流,下冲的电流经D2返回到电源EC,补偿了电网在全桥电路上的功耗。滞后臂死区时间应该在该时间段内结束。原边电流下冲到零点。 (6)(t5一t6):原边电流下冲过零后开始负向增大。S2和S3都已导通,形成新的电流回路,开始新的功率输出过程。副边电压被箝位在低电平,出现占空比丢失过程。因此滞后臂死区时间设计是关键。

DC-DC双向变换器

2015年全国大学生电子设计竞赛 DC-DC双向变换器(A题) 完成人:石永健(电子三班 201340602081) 2015年8月14

摘要 本系统以同步整流升降压电路为主,采用MSP430F5525单片机为控制核心。正向可以作为BUCK降压电路为电池充电,反向则可作为BOOST升压电路放电,经AD采样后由单片机调整PWM波输出,实现反馈控制。实验结果表明:当输入在24~36V条件下,充电时,充电恒流值十分稳定,电流控制精度为0.5%,充电电流变化率不大于0.5%,效率可高达96%。充电时,变换器效率高达97%。此外本系统还有充电电流显示,过充保护,自动切换等功能。 关键词:DC-DC双向变换;MSP430F5525;PWM反馈;恒流充电;同步整流

目录 1. 方案论证 (4) 1.1双向变换电路的论证与选择 (4) 1.2控制方案的论证与选择 (5) 1.3驱动方案的论证与选择 (5) 2.1电路的设计 (5) 2.1.1系统总体框图 (5) 2.1.2 电流检测子系统电路原理图 (6) 2.1.3 驱动模块电路原理图 (6) 2.2程序的设计 (7) 2.2.1 程序功能描述 (7) 2.2.2 程序流程图 (7) 3. 系统理论分析与计算 (8) 3.1主电路的分析 (8) 3.1.1同步整流电路的分析 (8) 3.1.2同步整流电路参数计算 (9) 3.2恒流充电方案的分析 (9) 4. 测试方案与测试结果 (10) 4.1测试仪器 (10) 4.2测试方案 (10) 4.3测试结果及分析 (11) 5.体会心得 (11) 6.参考文献 (11) 附录1:电路原理图 (12)

双向DC-DC变换器设计-全国大学生电子设计竞赛

2015年全国大学生电子设计竞赛 双向DC-DC变换器(A题) 学号:1440720117 吕刚 2015年12月30日

摘要 本设计主要由双向DC-DC变换电路、测控显示电路、辅助电源三部分构成,其中双向DC-DC变换电路降压部分采用XL4016开关降压型DC-DC转换芯片,最高转换效率可达93%,升压部分采用XL6019开关型升压/降压芯片,具有低纹波,输入范围广,转换效率高的特点。恒流部分采用PWM控制原理,形成一个闭环回路,控制电流恒定,恒压部分完全由硬件控制,单片机辅助控制的方式。以上部分确保系统满足题目要求,实现恒流充电,恒压放电,过压保护功能,并且有着较高的转换效率。 在本次设计中恒压部分完全有硬件控制,硬件自身形成一个闭环控制回路,对电压进行调节使其恒定题目要求的精度范围。单片机通过光耦电路的工作与停止,恒流部分由PWM调节占空比,使其恒流。 关键字电池充放电升压降压XL4016 XL6019 STM32

目录 一、系统方案 (1) 1、双向DC-DC变换电路的论证与选择 (1) 2、测量控制方案和辅助电源的论证与选择 (1) 3、控制方法的论证与选择 (1) 二、系统理论分析与计算 (2) 三、电路与程序设计 (3) 1、电路的设计 (3) (1)系统总体框图 (3) 2、程序的设计 (5) (1)程序功能描述与设计思路 (5) (2)程序流程图 (6) 3、程序流程图 (7) 四、测试仪器与数据分析 (7) 附录1:电路原理图 (9) 附录2:源程序 (10)

双向DC-DC变换器(A题) 【本科组】 一、系统方案 本设计主要由双向DC-DC变换电路、测控显示电路、辅助电源三部分构成,其中双向DC-DC变换电路降压部分采用XL4016开关降压型DC-DC转换芯片,最高转换效率可达93%,升压部分采用XL6019开关型升压/降压芯片,具有低纹波,输入范围广,转换效率高的特点。恒流部分采用PWM控制原理,形成一个闭环回路,控制电流恒定,恒压部分完全由硬件控制,单片机辅助控制的方式。以上部分确保系统满足题目要求,实现恒流充电,恒压放电,过压保护功能,并且有着较高的转换效率。 1、双向DC-DC变换电路的论证与选择 方案1:由降压斩波变换电路(即Buck变换电路)和升压斩波变换电路(即Boost 电路)组成双向DC-DC变换电路,分别各使用一个全控型器件VT(IGBT或MOSFET),对输入直流电源进行斩波控制通过调整全控型器件VT的控制信号占空比来调整输出电压。 方案2:采用XL4016开关型降压芯片和XL6019开关型升压/降压芯片构成升压、降压电路具有低纹波,内助功率MOS,具有较高的输入电压范围,内置过电流保护功能与EN引脚逻辑电平关断功能。 综合以上两种方案,考虑到时间的限制,选择了比较容易实现的方案2。 2、测量控制方案和辅助电源的论证与选择 由于瑞萨单片机开发套件数量有限,所以我们选择了一款相对便宜,速度快,性价比较高的STM32103V8T6作为控制器,显示部分由于收到题目对作品重量的要求,选择了质量轻,分辨率较高的0.96寸OLED屏幕显示。由于市场上所售开关电源模块的,纹波大的因素,所以辅助电源选择了一个较小的9V变压器,进行,整流滤波作为辅助电源。 3、控制方法的论证与选择 方案1:采用PWM调节占空比的方法控制降压芯片的控制端,达到控制恒流和控制恒压的目的,采用PWM调节软件较为复杂,而且PWM调节较为缓慢,软件控制难度大。 方案2:恒压部分完全有硬件控制,硬件自身形成一个闭环控制回路,对电压进行调节使其恒定题目要求的精度范围。单片机通过光耦电路的工作与停止,恒流部分由PWM调节占空比,使其恒流。 综合以上两种方案,选择软件较为简单,硬件较为复杂的方案2。

IR2181S驱动芯片在全桥电路中应用设计和注意事项

IR2181S驱动芯片在全桥电路中应用设计和注意事项 摘要:三相全桥技术具有应用广泛,控制方便,电路简单等特点,因此,广泛应用于逆变电源,变频技术,电力电子等相关领域,但其功率MOSFET以及相关的驱动电路的设计直接与电路的可靠性紧密相关,如MOSFET的驱动电路设计不当,MOSFET很容易损坏,因此本文主要分析和研究了成熟驱动控制芯片IR2181S组成的电路,并设计了具体的电路,为提高MOSFET 的可靠性作一些研究,以便能够为设计人员在设计产品时作一些参考。关键 词:IR2181S驱动芯片;MOSFET;全桥电路;自举电路设计;吸收电路IR2181S的结构和驱动电路设计IR2181S是IR公司研发的一款专用驱动芯片电其内部结构参考图1:主要由:低端功率晶体驱动管,高端功率晶体驱动管,电平转换器,输入逻辑电路等组成。IR2181S优点是可靠性高,外围电路简单。它驱动的MOSFET高压侧电压可以达到600V,最大输出电流可达到1.9A(高端)2.3A(低端)。具体设计电路时如将MOSFET或IGBT 作为高压侧开关(漏极直接接在高压母线上)需在应用的时候需要注意以下几点: (1)栅极电压一定要比漏极电压高10-15V,作为高压侧开关时,栅极电压是系统中电压最高的。(2)栅极电压从逻辑上看必须是可控制的,低压侧一般是以地为参考点的,但在高端是就必须转换成高压侧的源极电位,相当于将栅极驱动的地悬浮在源极上,所以在实际应用中栅极控制电压是在母线电压之间浮动的。(3)栅极驱动电路吸收的功率不会显著影响整个电路的效率。图2是以IR2181S驱动芯片设计的三相全桥电路: 图2中应用到三个IR2181S驱动芯片每路驱动一组桥臂,提供高端和低端两路驱动信号(HO*,LO*),以第一路桥臂为例(其它同理):IR2181S输入是由DSP或其他专用驱动信号发生芯片产生的高端和低端两路驱动信号,经过2181输出同样也为两路,但经过2181内部处理后输出的信号和输入控制信号完全隔离,输出电流可以达到2A,上图中IR218S低端输出(LO1)驱动下管的信号是以直流母线侧负端为参考点,输出信号幅值大概在15V左右满足MOSFET开通要求。高端输出是以U1为参考基准,电位浮在母线上,当上端开通时IR2181S通过自举电路 (C4,C5)将电压举升到栅极开启电压值。其电压值约为: UG=U母线 15V 上述电路中(以Q2为例)电容C4,C5和自举二极管组成的泵电路,其中自举电容和自举二极管等参数都是要经过精密计算的,其工作原理和计算方法如下: (1)工作原理:当电路工作时Vs被拉倒地(输出接负载) 15V通过二极管给自举电容C4,C5充电也因此给Vs一个工作电压满足了电路工作。(2)参数设计:计算电容参数时应考虑到以下几点, ①MGT栅极电荷; ②高压侧栅极静态电流; ③2181内部电平转换电路电流; ④MGT G和S 之间的电流。(备注:因自举电路一般选择非电解电容设计时电容漏电流可以忽略。) 此公式给出了对自举电容电荷的最小要求; Q=2Qg Iqbs/f Qls Icbs/f 注:Qg为高端MOSFET栅极电荷。 f为系统工作频率。 Icbs为自举电容漏电流(本电路为非电解电容可忽略不计)。Qls为每个周期内电平转换电路对电荷的要求。(500/600V IC 为5nc 1200V IC为20nc)。Iqbs为高端驱动电路静态电流。上述计算的电荷量是保证芯片正常工作的前提条件,只有保证自举电容能提供足够的电荷和稳定的电压才不

全桥变换器主电路分析

全桥变换器主电路分析 王振存 2006.04 1.电源概述 本电源,额定电流1000A。主电路采用全桥拓扑结构,两路并联的供电方式。主电路原理框图如图1所示。 2. 输入整流滤波电路的设计 电源交流输入采用三相三线输入方式,经三相桥式整流器输出脉动直流,经直流母线滤波供给后级功率变换电路。输入整流电路如图2所示。 图 1 对图中元件说明如下: D1-D6:三相整流桥,PE:输入端保护熔断器,PV压敏电阻; R56缓起电阻,C5、C6、C7:共模滤波电容; KA:接触器,C8直流母线滤波电容: 为限制刚开始投入时电解电容充电产生的电流浪涌,在输入整流电路增加了缓起电路。具体工作原理是,电源经外部加电,此时A、C线电压经R56、R55、D1、D2、D5、D6给电容充电,直流母线电压慢慢上升,上升到辅助电源启动电压时,辅助电源工作控制板得电将接触器闭合,将R56、R55短路,缓起动过程结束。 输入滤波电容的选择过程如下:取整流滤波后的直流电压的最大脉动值为低

交流峰值电压的10%,按照下面步骤计算电容的容量: ● 输入电压的有效值%10380±V 即342V ~418V; ● 输入交流电压峰值:482V ~591V ; ● 整流滤波后直流电压的最大脉动值:V V 2.4810482%=?; ● 整流后直流电压的范围:433.8V ~542.8V ; ● 电源总功率按50KW 计算则等效电阻为Ω== 76.350000 8.4332 L R ; ● 一般取放电时间常数τ=R L C=(3~5)T/6故最小电容F C μ265076 .301.0== ; 3. 全桥逆变电路工作状况分析 3.1 工作模态分析 电源由全桥逆变器和输出整流滤波电路构成。全桥逆变器的主电路如图2所示,由四功率管Q1~Q4及其反并二级管D1~D4,和输出变压器(L LK 为主变压器漏感),吸收电路,隔直电容等组成。 LD R V 图2 在一个开关周期中,电流连续的情况下,全桥变换器共有有4种开关模态。 在t0时刻,对应于图3(a )。Q1、Q4导通。电压经Q1、Q4、C3、加到变压

双向DC-DC变换器(全国大学生电子设计竞赛全国二等奖作品)

2015年全国大学生电子设计竞赛双向DC-DC变换器(A题) 2015年8月15日

摘要 本设计主要由双向DC-DC变换电路、测控显示电路、辅助电源三部分构成,其中双向DC-DC变换电路降压部分采用XL4016开关降压型DC-DC转换芯片,最高转换效率可达93%,升压部分采用XL6019开关型升压/降压芯片,具有低纹波,输入范围广,转换效率高的特点。恒流部分采用PWM控制原理,形成一个闭环回路,控制电流恒定,恒压部分完全由硬件控制,单片机辅助控制的方式。以上部分确保系统满足题目要求,实现恒流充电,恒压放电,过压保护功能,并且有着较高的转换效率。 在本次设计中恒压部分完全有硬件控制,硬件自身形成一个闭环控制回路,对电压进行调节使其恒定题目要求的精度范围。单片机通过光耦电路的工作与停止,恒流部分由PWM调节占空比,使其恒流。 关键字电池充放电升压降压XL4016 XL6019 STM32

目录 一、系统方案 (1) 1、双向DC-DC变换电路的论证与选择 (1) 2、测量控制方案和辅助电源的论证与选择 (1) 3、控制方法的论证与选择 (1) 二、系统理论分析与计算 (2) 三、电路与程序设计 (3) 1、电路的设计 (3) (1)系统总体框图 (3) 2、程序的设计 (5) (1)程序功能描述与设计思路 (5) (2)程序流程图 (6) 3、程序流程图 (7) 四、测试仪器与数据分析 (7) 附录1:电路原理图 (9) 附录2:源程序 (10)

双向DC-DC变换器(A题) 【本科组】 一、系统方案 本设计主要由双向DC-DC变换电路、测控显示电路、辅助电源三部分构成,其中双向DC-DC变换电路降压部分采用XL4016开关降压型DC-DC转换芯片,最高转换效率可达93%,升压部分采用XL6019开关型升压/降压芯片,具有低纹波,输入范围广,转换效率高的特点。恒流部分采用PWM控制原理,形成一个闭环回路,控制电流恒定,恒压部分完全由硬件控制,单片机辅助控制的方式。以上部分确保系统满足题目要求,实现恒流充电,恒压放电,过压保护功能,并且有着较高的转换效率。 1、双向DC-DC变换电路的论证与选择 方案1:由降压斩波变换电路(即Buck变换电路)和升压斩波变换电路(即Boost 电路)组成双向DC-DC变换电路,分别各使用一个全控型器件VT(IGBT或MOSFET),对输入直流电源进行斩波控制通过调整全控型器件VT的控制信号占空比来调整输出电压。 方案2:采用XL4016开关型降压芯片和XL6019开关型升压/降压芯片构成升压、降压电路具有低纹波,内助功率MOS,具有较高的输入电压范围,内置过电流保护功能与EN引脚逻辑电平关断功能。 综合以上两种方案,考虑到时间的限制,选择了比较容易实现的方案2。 2、测量控制方案和辅助电源的论证与选择 由于瑞萨单片机开发套件数量有限,所以我们选择了一款相对便宜,速度快,性价比较高的STM32103V8T6作为控制器,显示部分由于收到题目对作品重量的要求,选择了质量轻,分辨率较高的0.96寸OLED屏幕显示。由于市场上所售开关电源模块的,纹波大的因素,所以辅助电源选择了一个较小的9V变压器,进行,整流滤波作为辅助电源。 3、控制方法的论证与选择 方案1:采用PWM调节占空比的方法控制降压芯片的控制端,达到控制恒流和控制恒压的目的,采用PWM调节软件较为复杂,而且PWM调节较为缓慢,软件控制难度大。 方案2:恒压部分完全有硬件控制,硬件自身形成一个闭环控制回路,对电压进行调节使其恒定题目要求的精度范围。单片机通过光耦电路的工作与停止,恒流部分由PWM调节占空比,使其恒流。 综合以上两种方案,选择软件较为简单,硬件较为复杂的方案2。

双向DC-DC变换器研究

双向DC-DC变换器 摘要: 双向DC/DC变换器是一种可以实现“一机两用”的设备,可用其得到能量的双向传输,并且在有些需要能量双向流动的场合,双向DC/DC变换器可大幅度减轻系统的体积、重量以及成本价值,有着重要的研究意义。 首先介绍的是双向DC/DC变换器的概念、应用场合以及其研究现状,并在此基础上分析了电压—电流型双向全桥DC/DC变换器;Buck充电模式时,高压侧开关有驱动信号,低压侧开关管驱动信号封锁,仅用功率开关管的体二极管整流;此时电路为电压型全桥结构;Boost放电模式时,低压侧开关管有驱动信号,高压侧开关管驱动信后封锁,仅用功率开关管的体二极管整流;此时电路为电流型全桥结构。然后,分别对buck充电模式和boost放电模式的工作原理进行了分析。最后利用Proteus软件分别对buck充电模式和boost放电模式的开环和闭环进行了仿真,给出了各部分的波形图,最后得出的仿真结果和理论一致。 关键词:双向DC-DC变换器 Buck充电模式 Boost放电模式

目录 前言 (3) 1.方案论证 (4) 1.1方案一 (6) 1.2 方案二 (6) 1.3 方案选择 (7) 2.电路设计和原理 (7) 2.1 5V电压源电路设计 (7) 2.2 0.1s (8) 2.2.1 引脚及功能表 (9) 2.2.2 (10) 2.3 计数电路设计 (11) 2.4电路设计 (13) 2.5显示电路设计 (14) 2.6控制电路设计 (15) 3.软件仿真调试 (15) 3.1 软件介绍 (15) 3.2 调试步骤及方法 (16) 4.故障分析及解决方法 (17) 5.总结与体会 (18) 附录: (20) A、总体电路图 (20) B、元器件清单 (20) C、元器件功能与管脚 (21) D、参考文献 (24)

ZVS移相全桥变换器设计

电气工程学院课程设计说明书 设计题目: 系别: 年级专业: 学生姓名: 指导教师:

电气工程学院《课程设计》任务书 课程名称:电力电子与电源综合课程设计 基层教学单位:电气工程及自动化系指导教师:朱艳萍 说明:1、此表一式三份,系、学生各一份,报送院教务科一份。 2、学生那份任务书要求装订到课程设计报告前面。 电气工程学院教务科

电力电子与电源课程设计组内自评表

摘要 首先,本文阐述PWM DC/DC变换器的软开关技术,且根据移相控制PWM全桥变换器的主电路拓扑结构,选定适合于本论文的零电压开关软开关技术的电路拓扑,并对其基本工作原理进行阐述,同时给出ZVS软开关的实现策略。 其次,对选定的主电路拓扑结构进行电路设计,给出主电路中各参量的设计及参数的计算方法,包括输入、输出整流桥及逆变桥的器件的选型,输入整流滤波电路的参数设计、高频变压器及谐振电感的参数设计以及输出整流滤波电路的参数设计。 然后,论述移相控制电路的形成,对移相控制芯片进行选择,同时对移相控制芯片UC3875进行详细的分析和设计。对主功率管MOSFET的驱动电路进 最后,基于理论计算,对系统主电路进行仿真,研究其各部分设计的参数是否合乎实际电路。搭建移相控制ZVS DC/DC全桥变换器的实验平台,在系统实验平台上做了大量的实验。 实验结果表明,本文所设计的DC/DC变换器能很好的实现软开关,提高效率,使输出电压得到稳定控制,最后通过调整移相控制电路,可实现直流输出的宽范围调整,具有很好的工程实用价值。行分析和设计。 关键词开关电源;高频变压器;移相控制;零电压开关;UC3875

双向储能系统DCDC变换器设计

双向储能系统DC/DC 变换器设计 本报告设计了双向储能系统DC-DC 变换器,并基于计算机仿真PSCAD 软件进行了仿真,器变换器拓扑如图1(a)所示,其中左侧为低压侧,接储能电池,右侧为高压侧,接负载与分布式电源,变换器电感为5mH ,高压侧稳压电容为3000μf ,开关频率为6000Hz 。变换器控制策略采用双闭环定电压控制,外环为电压环,内环为电流环,从而起到稳定高压侧电压的作用,如图1(b)所示。 图1(a) 变换器拓扑 图1(b) 变换器控制策略 1 低压侧:V dc :35-50V ;电流纹波<3%(满载充电工况下) 由于锂离子电池电压会随着SOC 波动,其波动范围为35-50V ,因此首先需要对锂离子电池进行建模。查阅文献可知,可使用单变量函数描述锂离子电池SOC 与电池端电压之间的关系。由于当SOC 为0时,电池端电压为35V ;当SOC 为1时,电池端电压为50V ,因此利用典型的单变量函数可以得到本文中锂离子电池的数学模型,即 3523out 10.345( 1.031 3.6850.2156 0.11780.3201)7.544SOC u e SOC SOC SOC -=-++-++ (1) 根据模型可以得到PSCAD 锂离子电池模型如图2所示。仿真可得其SOC-电压特性曲线如图3所示。

图2 PSCAD 锂离子电池模型 图3 锂离子电池SOC-电压特性曲线 由按秒特性原理,可知电流纹波与高低压侧电压及电感有关,可以得到稳态下的电感电流纹波为 in in out in out in in L out (1)()222u u T u u u u u dT i T L L u L --?=== (2) 其中u in 为低压侧输入电压,u out 为高压侧输出电压,T 为开关周期,L 为电感满载时电流最大值为 max 1000W 28.57A 35V i == (3) 因此有 in out in out ()28.570.030.8571A 2u u u T u L -≤?= (4) 由(2)可知当u in 最小时,电流纹波有最大值,u in =35V 代入可得 0.0031L ≥H (5) 因此L 取5mH 可以满足要求,其电流纹波的仿真波形如图4所示,可以看出电流纹波不到0.7A ,满足要求。

移相全桥ZVS变换器的原理与设计

移相全桥ZVS变换器的原理与设计 移相全桥ZVS变换器的原理与设计 摘要:介绍移相全桥ZVS变换器的原理,并用UC3875控制器研制成功3kW 移相全桥零电压高频通信开关电源。 1引言 传统的全桥PWM变换器适用于输出低电压(例如5V)、大功率(例如1kW) 的情况,以及电源电压和负载电流变化大的场合。其特点是开关频率固定,便于控制。为了提高变换器的功率密度,减少单位输出功率的体积和重量,需要将开 关频率提高到1MHz级水平。为避免开关过程中的损耗随频率增加而急剧上升,在移相控制技术的基础上,利用功率MOS管的输出电容和输出变压器的漏电感作为谐振元件,使全桥PWM变换器四个开关管依次在零电压下导通,实现恒频软开关,这种技术称为ZVS零电压准谐振技术。由于减少了开关过程损耗,可保证整个变换器总体效率达90%以上,我们以Unitrode公司UC3875为控制 芯片研制了零电压准谐振高频开关电源样机。本文就研制过程,研制中出现的问题及其改进进行论述。 2准谐振开关电源的组成 ZVS准谐振高频开关电源是一个完整的闭环系统,它包括主电路、控制电路及CPU通讯和保护电路,。 从图1可以看出准谐振开关电源的组成与传统PWM开关电源的结构极其相似,不同的是它在DC/DC变换电路中采用了软开关技术,即准谐振变换器(QRC)。它是在PWM型开关变换器基础上适当地加上谐振电感和谐振电容而形成的,由于运行中,工作在谐振状态的时间只占开关周期的一部分,其余时间都是运行在非谐振状态,所以称为“准谐振”变换器。准揩振变换器又分为两种,一种是零电流开关(ZCS),一种是零电压开关(ZVS),零电流

DC-DC直流变换器

第一章绪论 本章介绍了双向DC/DC变换器(Bi-directional DC/DC Converter,BDC)的基本原理概述、研究背景和应用前景,并指出了目前双向直流变换器在应用中遇到的主要问题。 1.1 双向DC/DC变换器概述 所谓双向DC/DC变换器就是在保持输入、输出电压极性不变的情况下,根据具体需要改变电流的方向,实现双象限运行的双向直流/直流变换器。相比于我们所熟悉的单向DC/DC 变换器实现了能量的双向传输。实际上,要实现能量的双向传输,也可以通过将两台单向DC/DC变换器反并联连接,由于单向变换器主功率传输通路上一般都需要二极管,因此单个变换器能量的流通方向仍是单向的,且这样的连接方式会使系统体积和重量庞大,效率低下,且成本高。所以,最好的方式就是通过一台变换器来实现能量的双向流动,BDC就是通过将单向开关和二极管改为双向开关,再加上合理的控制来实现能量的双向流动。 1.2 双向直流变换器的研究背景 在20世纪80年代初期,由于人造卫星太阳能电源系统的体积和重量很大,美国学者提出了用双向Buck/Boost直流变换器来代替原有的充、放电器,从而实现汇流条电压的稳定。之后,发表了大量文章对人造卫星应用蓄电池调节器进行了系统的研究,并应用到了实体中。 1994年,香港大学陈清泉教授将双向直流变换器应用到了电动车上,同年,F.Caricchi 等教授研制成功了用20kW水冷式双向直流变换器应用到电动车驱动,由于双向直流变换器的输入输出电压极性相反,不适合于电动车,所以他提出了一种Buck-Boost级联型双向直流变换器,其输入输出的负端共用。1998年,美国弗吉尼亚大学李泽元教授开始研究双向直流变换器在燃料电池上的配套应用。可见,航天电源和电动车辆的技术更新对双向直流变换器的发展应用具有很大的推动力,而开关直流变换器技术为双向DC/DC变换器的发展奠定了基础。 1994年,澳大利亚Felix A.Himmelstoss发表论文,总结出了不隔离双向直流变换器的拓扑结构。他是在单管直流变换器的开关管上反并联二极管,在二极管上反并联开关管,从而构成四种不隔离的双向直流变换器:Buck-Boost、Buck/Boost、Cuk和Sepi-Zeta双向直流变换器。 隔离式双向直流变换器有正激、反激、推挽和桥式等拓扑结构。 反激式变换器是基于Buck/Boost直流变换器设计的,电路结构对称,相比之下更易于构成双向直流变换器。但普通的反激式变换器容易产生电压尖峰和振荡,2001年陈刚博士提出了有源嵌位双向反激式直流变换器,有效的消除了电压尖峰和振荡,并且实现了开关管的零电流开关,减少了开关器件的电压应力。 推挽式变换器也具有对称的电路结构,且结构简单,但存在变压器的偏磁和漏感,从而限制了变换器的应用。所以有学者提出,在输入输出电压相差较大的场合,可以应用由推挽变换器和半桥变换器组成的混合式变换器。 桥式直流变换器有两类电路:一种是双有源桥式变换器,电路结构对称,通过控制相位

基于升降压电路的双向DC-DC变换电路.

基于Buck-Booost电路的双向DC-DC变换电路

目录 1系统方案 (4) 1.1 DC-DC双向变换器模块的论证与选择 (4) 1.2 测控电路系统的论证与选择 (4) 2 系统理论分析与计算 (4) 2.1 双向Buck-BOOST主拓电路的分析 (4) 2.2 电感电流连续工作原理和基本关系 (5) 2.3 控制方法与参数计算 (6) 3 电路与程序设计 (7) 3.1 电路的设计 (7) 3.1.1 系统总体框图 (7) 3.1.2 给电池组充电Buck电路模块 (7) 3.1.3 电池放电Boost升压模块 (8) 3.1.4 测控模块电路原理图 (8) 3.1.5 电源 (9) 3.2 程序设计 (9) 4 测试方案与测试结果 (15) 4.1 测试方案 (15) 4.2 测试条件与仪器 (15) 4.3 测试结果及分析 (15) 4.3.1 测试结果(数据) (15) 4.3.2 测试分析与结论 (16)

摘要 双向DC/DC变换器(Bi-directional DC-DC Converter,BDC)是一种可在双象限运行的直流变换器,能够实现能量的双向传输。随着开关电源技术的不断发展,双向DC/DC变换器已经大量应用到电动汽车、太阳能电池阵、不间断电源和分布式电站等领域,其作为DC/DC变换器的一种新的形式,势必会在开关电源领域上占据越来越重要的地位。由于在需要使用双向DC/DC变换器的场合很大程度上减轻系统的体积重量及成本,所以具有重要研究价值。既然题目要求是作用于可充电锂电池的双向的DC-DC变换器,肯定包括降压、升压、电压可调、恒流、等要求。考虑到题目对效率的要求,我们选择降压Buck电路,升压Boost 电路,并用反馈电路和运放电路来实现电压可调和恒流等要求,通过一系列的测试和实验几大量的计算,基本上能完成题目的大部分要求。 关键词:双向DC/DC变换器;双向Buck-Boost变换器;效率;恒流稳压 1系统方案 本系统主要由DC-DC双向变换器模块、测控电路模块及辅助电源模块构成,分别论证这几个模块的选择。 1.1 DC-DC双向变换器模块的论证与选择 方案一:采用大功率的线性稳压芯片搭建稳压电路,使充电压恒定,在输入电压高于充电合适电压时,实现对输入电压的降压,为电池组充电。该电路外围简单,稳压充电不需要软件控制,简单方便,但转换效率低。同时采用采用基于NE555的普通升压电路,这种电路设计简单,成本低,但转换效率较低、电池电压利用率低、输出功率小,更不能不易与

2015年全国大学生电子设计竞赛题目双向DC-DC变换器(A题)

2015年全国大学生电子设计竞赛试题 参赛注意事项 (1)8月12日8:00竞赛正式开始。本科组参赛队只能在【本科组】题目中任选一题;高职高专组参赛队在【高职高专组】题目中任选一题,也可以选择【本科组】题目。 (2)参赛队认真填写《登记表》内容,填写好的《登记表》交赛场巡视员暂时保存。 (3)参赛者必须是有正式学籍的全日制在校本、专科学生,应出示能够证明参赛者学生身份的有效证件(如学生证)随时备查。 (4)每队严格限制3人,开赛后不得中途更换队员。 (5)竞赛期间,可使用各种图书资料和网络资源,但不得在学校指定竞赛场地外进行设计制作,不得以任何方式与他人交流,包括教师在内的非参赛队员必须迴避,对违纪参赛队 取消评审资格。 (6)8月15日20:00竞赛结束,上交设计报告、制作实物及《登记表》,由专人封存。 【本科组】 一、任务 设计并制作用于电池储能装置的双向DC-DC变换器,实现电池的充放电功能,功能可由按键设定,亦可自动转换。系统结构如图1所示,图中除直流稳压电源外,其他器件均需自备。电池组由5节18650型、容量2000~3000mAh的锂离子电池串联组成。所用电阻阻值误差的绝对值不大于5%。 图1 电池储能装置结构框图 二、要求 1.基本要求 接通S1、S3,断开S2,将装置设定为充电模式。 (1)U2=30V条件下,实现对电池恒流充电。充电电流I1在1~2A范围内步进可调,步进值不大于0.1A,电流控制精度不低于5%。 (2)设定I1=2A,调整直流稳压电源输出电压,使U2在24~36V范围内变化时,要求充电电流I1的变化率不大于1%。 (3)设定I1=2A,在U2=30V条件下,变换器的效率 190% η≥。 (4)测量并显示充电电流I1,在I1=1~2A范围内测量精度不低于2%。 (5)具有过充保护功能:设定I1=2A,当U1超过阈值U1th=24±0.5V时,停止充电。

移相全桥为主电路的软开关电源设计详解

移相全桥为主电路的软开关电源设计详解 2014-09-11 11:10 来源:电源网作者:铃铛 移相全桥变换器可以大大减少功率管的开关电压、电流应力和尖刺干扰,降低损耗,提高开关频率。如何以UC3875为核心,设计一款基于PWM软开关模式的开关电源?请见下文详解。 主电路分析 这款软开关电源采用了全桥变换器结构,使用MOSFET作为开关管来使用,参数为1000V/24A。采用移相ZVZCSPWM控制,即超前臂开关管实现ZVS、滞后臂开关管实现ZCS。电路结构简图如图1,VT1~VT4是全桥变换器的四只MOSFET开关管,VD1、VD2分别是超前臂开关管VT1、VT2的反并超快恢复二极管,C1、C2分别是为了实现VTl、VT2的ZVS设置的高频电容,VD3、VD4是反向电流阻断二极管,用来实现滞后臂VT3、VT4的ZCS,Llk为变压器漏感,Cb为阻断电容,T 为主变压器,副边由VD5~VD8构成的高频整流电路以及Lf、C3、C4等滤波器件组成。 图1 1.2kw软开关直流电源电路结构简图 其基本工作原理如下:

当开关管VT1、VT4或VT2、VT3同时导通时,电路工作情况与全桥变换器的硬开关工作模式情况一样,主变压器原边向负载提供能量。通过移相控制,在关断VT1时并不马上关断VT4,而是根据输出反馈信号决定移相角,经过一定时间后再关断VT4,在关断VT1之前,由于VT1导通,其并联电容C1上电压等于VT1的导通压降,理想状况下其值为零,当关断VT1时刻,C1开始充电,由于电容电压不能突变,因此,VT1即是零电压关断。 由于变压器漏感L1k以及副边整流滤波电感的作用,VT1关断后,原边电流不能突变,继续给Cb充电,同时C2也通过原边放电,当C2电压降到零后,VD2自然导通,这时开通VT2,则VT2即是零电压开通。 当C1充满电、C2放电完毕后,由于VD2是导通的,此时加在变压器原边绕组和漏感上的电压为阻断电容Cb两端电压,原边电流开始减小,但继续给Cb 充电,直到原边电流为零,这时由于VD4的阻断作用,电容Cb不能通过VT2、VT4、VD4进行放电,Cb两端电压维持不变,这时流过VT4电流为零,关断VT4即是零电流关断。 关断VT4以后,经过预先设置的死区时间后开通VT3,由于电压器漏感的存在,原边电流不能突变,因此VT3即是零电流开通。 VT2、VT3同时导通后原边向负载提供能量,一定时间后关断VT2。由于C2的存在,VT2是零电压关断,如同前面分析,原边电流这时不能突变,C1经过VD3、VT3。Cb放电完毕后,VD1自然导通,此时开通VT1即是零电压开通,由于VD3的阻断,原边电流降为零以后,关断VT3,则VT3即是零电流关断,经过预

SPWM全桥逆变器主功率电路设计说明

SPWM全桥逆变器主功率电路设计 一.设计目的 通过电力电子技术的学习,熟悉无源逆变概念;采用全桥拓扑并用全控器件MOSFET形成主电路拓扑,设计逆变器硬件电路,并能开环工作。熟悉全桥逆变器拓扑,掌握逆变原理,实现正弦波输出要素,设计SPWM逆变器控制信号发生电路。 参数指标: 输入:48Vdc, 输出:40Vac/400Hz 二.设计任务 (1) 熟悉交流电路中功率因数的意义; (2) 掌握全桥逆变概念,分析全桥逆变器中每个元件的作用; (3) 分析正弦脉宽调制(SPWM)原理,及硬件电路实现形式: (4) 应用protel制作SPWM逆变器线路图; (5) 根据原理图制作硬件,并调试; 三. 设计总体框图 图1设计总体框图 四.设计原理分析 SPWM脉宽调制原理

PWM(Pulse Width Modulation)控制就是对脉冲的宽度进行调制的技术。即通过对一系列脉冲的宽度进行调制,来等效地获得所需要波形(含形状和幅值)。当采用正弦波作为调制信号来控制输出PWM脉冲的宽度,使其按照正弦波的规律变化,这种脉冲宽度调制控制策略就称为正弦脉冲宽度调制(Sine pulse width modulation,SPWM),产生SPWM脉冲,采用最多的载波是等腰三角波;因为等腰三角波上任一点的水平宽度和高度成线性关系且左右对称,当它与任何一个平缓变化的调制信号波相交时,如果在交点时刻对电路中开关器件的通断进行控制,就可以得到宽度正比于信号波幅值的脉冲。在调制信号波为正弦波时,所得到的就是SPWM波形。 SPWM波形的产生(如图2) 图2 SPWM波形的产生 1).全桥倍增SPWM控制 主电路和其他全桥逆变电路完全一致,控制脉冲的发生类似双极性SPWM 的模式,所不同的是,其桥臂之一所使用的互补控制脉冲由正弦调制波和三角载波比较产生,而另一个桥臂脉冲由同一正弦波和反相的三角载波比较产生(或者是反相三角载波和同一正弦波比较产生)。这种调制输出谐波性能等效于2倍载

双向DC-DC变换器

双向DC-DC 变换器 摘要:以FPGA 和TM4C123G 为控制核心,设计制作了双向DC-DC 变换器。本系统主要包括Buck/Boost 双向DC-DC 变换电路、电压电流采样电路和辅助电源电路等,其中以Buck/Boost 变换电路为核心,完成锂电池组的充、放电,采用闭环反馈系统,实时监测锂电池组的电压、电流,经过PID 调节,控制输出PWM 波,从而控制Buck/Boost 变换电路。经测试,变换器可实现恒流充电,且充电电流在1~2A 内可调,步进值可设定,电流控制精度0.12%ic e ≤,测量精度 0.192%m e ≤,变换器充电效率198.54%η≥,放电效率297.99%η≥,且系统具有过充保护功能,阈值电压1(240.032)th U V =±,能自动转换工作模式并保持 2(300.010)U V =±。经称量,双向DC-DC 变换器、测控电路与辅助电源三部分总重量为368g 。此外,系统可识别充电、放电两种模式,并实时显示充、放电的电流与电压,人机交互性良好。 关键词:BDC ;锂电池;PWM ;PID ;过充保护

1 方案论证 1.1 方案比较与选择 1.1.1 双向DC-DC 主回路 方案一:非隔离式Buck/Boost BDC Buck 变换器和Boost 变换器的二极管换成双向开关后具有同样的结构,构成 Buck/Boost BDC ,图1为其拓扑结构。在Buck/BoostBDC 中,由于1S 和2S 均可流通双向电流,因此电感L 中的电流一直保持连续状态。当电感电流恒大于零时,能量由b V 流向o V ,是Boost 变换器,锂电池放电;当电感电流恒小于零时,能量由o V 流向b V ,是Buck 变换器,锂电池充电。 图1非隔离式Buck/Boost BDC 拓扑结构 方案二:隔离式Buck/Boost BDC 非隔离式Buck/Boost BDC 中插入高频变压器便构成隔离式Buck/Boost BDC 。图2为其拓扑结构。其高频逆变/整流和高频整流/逆变单元可以由半桥、全桥、推挽等电路构成,方案较多,设计电路比较灵活。 图2隔离式Buck/Boost BDC 拓扑结构 分析:方案二存在升压启动和开关管电压尖峰问题,电路结构较复杂,方案 一控制方便,电路结构简单,故选择方案一。 1.1.2PWM 波控制方案 方案一:TL494是一种固定频率脉宽调制器,集成了全部的脉宽调制电路。片内置线性锯齿波振荡器、误差放大器、5V 参考基准电压源、功率晶体管,仅有两个外置振荡元件,内置可调整死区时间。通过控制信号与T C 上的正锯齿波比较,来控制PWM 波的占空比。实际电路中,可通过FPGA 控制DAC 的输出电压来作为TL494的外部控制信号,实现对TL494输出PWM 波占空比的控制。

相关主题
文本预览
相关文档 最新文档